EP1916717A2 - Method for doping a fin-based semiconductor device - Google Patents

Method for doping a fin-based semiconductor device Download PDF

Info

Publication number
EP1916717A2
EP1916717A2 EP07114868A EP07114868A EP1916717A2 EP 1916717 A2 EP1916717 A2 EP 1916717A2 EP 07114868 A EP07114868 A EP 07114868A EP 07114868 A EP07114868 A EP 07114868A EP 1916717 A2 EP1916717 A2 EP 1916717A2
Authority
EP
European Patent Office
Prior art keywords
fin
top surface
mask material
blocking mask
dopant ions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP07114868A
Other languages
German (de)
French (fr)
Other versions
EP1916717A3 (en
Inventor
Anil Kottantharayil
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP06119425A external-priority patent/EP1892765A1/en
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Priority to EP07114868A priority Critical patent/EP1916717A3/en
Publication of EP1916717A2 publication Critical patent/EP1916717A2/en
Publication of EP1916717A3 publication Critical patent/EP1916717A3/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane

Definitions

  • the present invention is related to the field of semiconductor devices. More particularly this invention is related to the field of fin-based devices such as multi-gate devices and in particular to a method for doping such multi-gate devices.
  • MUGFET multi-gate field effect transistors
  • Source-drain extensions can easily be performed by doing ion implantation. In this way source-drain regions can be made in the plane of the wafer surface.
  • doping of the source-drain extensions has to be done in a three dimensional way. More particularly doping of the top surface and doping of the sidewalls of the fin is necessary. This is typically done by applying two ion implantation steps, as also described in U.S. patent application US2004/0217433 .
  • dopant ions are implanted at an angle ⁇ with respect to the normal to the top surface of the semiconductor fin in order to dope the first sidewall surface and the top surface.
  • dopant ions are implanted at an angle ⁇ (which magnitude is preferably equal to angle ⁇ ) with respect to the normal to the top surface of the semiconductor fin in order to dope the second sidewall surface and the top surface.
  • the doping (or dose or sheet resistance) ratio which is the doping (or dose or sheet resistance) at the top surface versus the doping (or dose or sheet resistance) at the sidewall surface, should be close to 1. Otherwise said, the total dose received at the top surface of the fin should be equal to the total dose received at the sidewall of the fin.
  • the doping ratio or dose ratio or sheet resistance ratio
  • the source/drain extension implantations are limited to an implantation angle around or smaller than 10 degrees.
  • the present invention is related to a method for doping a multi-gate device comprising the steps of patterning at least one fin in a substrate, each fin comprising a top surface, a first sidewall surface and a second sidewall surface, patterning a gate electrode over said fin, doping said fin by implanting it with dopant ions, characterized in that the method comprises the steps of:
  • the step of implanting said fin with dopant ions comprises a first implantation step with said dopant ions at a first incident angle ⁇ , with respect to the normal of the top surface of said fin to dope at least the first sidewall of said fin and a second implantation step with said dopant ions at a second incident angle ⁇ , with respect to the normal of the top surface of said fin to dope at least the second sidewall of said fin. Both angles ⁇ and ⁇ being different from 0.
  • Said first incident angle ⁇ and said second incident angle ⁇ are preferably smaller than 45 degrees. According to a further embodiment, said first incident angle ⁇ and said second incident angle ⁇ are smaller than 10 degrees.
  • Said second incident angle ⁇ is preferably equal and opposite to said first incident angle ⁇ .
  • a limited number of implantation steps are used. More particularly two implantation steps can be sufficient to dope the fin uniformly, i.e. to dope the sidewall surfaces and the top surface of the fin uniformly, by using a blocking mask which partially blocks the top surface of said fin from said dopant ions.
  • small implantation angles i.e. smaller than 45 degrees, i.e. smaller than 10 degrees, may be used. This is especially beneficial for 32nm technology node or smaller.
  • said blocking mask material partially blocks the top surface of said fin from said dopant ions such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to or equal to 1, after the implanting step.
  • the fin is uniformly doped. This means that the dose ratio (or resistance ratio or resistivity ratio), i.e. the ratio of dose (or resistance or resistivity) received at the top surface of the fin to the dose (or resistance or resistivity) received at the sidewall surface of the fin, is equal or close to 1. It is an advantage of the present invention that the source/drain extension resistance may be reduced.
  • said blocking mask material is removed after implanting said fin with dopant ions at an angle different from zero.
  • the mask has the characteristic to block more and less half of the implantation, there is no need to perform an extra implantation step for the top surface.
  • said blocking mask material completely blocks the top surface of said fin from said dopant ions.
  • Another possibility is to start with an implantation of the top surface (with an angle of 0°) followed by the deposition of the mask on said top surface, itself followed by two implantation steps with incident angle ( ⁇ and ⁇ ) different from 0.
  • said blocking mask material has a density and a thickness which is chosen in function of the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin.
  • said blocking mask material has a thickness larger than 5 nm.
  • said blocking mask material has a density larger than 1.18 gm/cm3, larger than 1.3 gm/cm3.
  • said blocking mask material is also deposited on the substrate at both sides of the fin.
  • a box recess may be etched in the substrate adjacent to the fin, or if more than one fin is available, in the substrate in between the fins, before depositing the blocking mask material.
  • the invention is equally related to a method for doping a multi-gate device comprising the steps of patterning at least one fin in a substrate, said fin comprising a top surface and two sidewall surfaces, depositing a gate stack over the fin, patterning said gate stack over said fin, doping said fin characterized in that it further comprises the step of depositing a blocking mask material on at least part of the top surface of said fin after the step of patterning said gate electrode, implanting said fin with dopant ions at an incident angle different from 0 degrees with respect to the normal of the top surface of said fin whereby said blocking mask material partially or completely blocks the top surface of said fin from said dopant ions.
  • a blocking mask material is deposited on at least the whole top surface of said fin after the step of patterning said gate electrode.
  • said method for doping a multi-gate device further comprises the step of removing said completely or partially blocking mask material after said implanting step.
  • said step of implanting said fin comprises a first implantation step with said dopant ions at a first incident angle ( ⁇ ) with respect to the normal of the top surface of said fin whereby said blocking mask material partially or completely blocks the top surface of said fin from said dopant ions and a second implantation step with said dopant ions at the opposite incident angle (- ⁇ ) of said first incident angle with respect to the normal of the top surface of said fin whereby said blocking mask material partially or completely blocks the top surface of said fin from said dopant ions.
  • said blocking mask material partially blocks the top surface of said fin from said dopant ions such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to 1 after said implanting step.
  • the method further comprises the step of implanting said top surface of said fin with dopant ions, possibly after removing said completely blocking mask material, possibly such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to 1 after said step of implanting said top surface.
  • said step of implanting said top surface is done perpendicular to said top surface of said fin, thereby defining an incident angle equal to 0°.
  • the partially blocking mask may be removed after the first and the second implantation steps.
  • the completely blocking mask may be removed after the first and the second implantation steps.
  • said incident angle is within the range from 0 degrees to about 20 degrees with respect to the normal of said top surface of said fin.
  • said blocking mask material blocks said dopant ions depending on the density and the thickness of said blocking mask material.
  • said incident angle is determined by the thickness of said blocking mask material.
  • said blocking mask material is chosen from amorphous carbon, oxide or nitride.
  • said blocking mask material is deposited on at least the top surface of said fin using a line of sight deposition technique.
  • said line of sight deposition technique is chosen from CVD or PECVD or MBE or sputtering.
  • said dopant ions are selected from the group consisting of B, As, P, Sb, BF3.
  • said step of patterning at least one fin further comprises providing an array of fins located at an inter-fin distance from each other.
  • Said inter-fin distance i.e. said pitch
  • the inter-fin distance should be 64 nm or smaller (for 32 nm technology node), 44 nm or smaller (for 22 nm technology node).
  • said step of doping the fin is achieved by plasma doping technology (also known as PLAD) or by ion implantation.
  • a multi-gate device is disclosed obtainable with the method of the present invention.
  • a uniform doping around the channel region of the fin can be achieved such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to 1 after said implanting step. More particularly a uniform doping around the channel region, i.e. in the source/drain extension regions of the multi-gate device is obtained by using the method of the present invention.
  • Figure 1 is a schematic overview of one fin and the associated fin geometry parameters.
  • Figure 2 represents a schematic overview of at least one fin (two fins) and the associated fin geometry parameters.
  • Figure 3 is a 3-dimensional schematic overview of an example of a multi-gate device from the present invention.
  • Figure 4 gives a cross-sectional view of the method of the present invention.
  • Figure 5 are SEM images of a blocking mask material deposited on an array of fins.
  • Figure 6 gives an overview of the ion implant range in function of a blocking mask material and its thickness and density.
  • Figure 7 gives a schematic overview of the method of the present invention where a completely blocking mask material is used for doping at least one fin.
  • FIGS 8 and 9 give a schematic overview of the method of the present invention where a partially blocking mask material is used for doping at least one fin.
  • Figure 10 gives the ratio of sheet resistance at the top surface to the sidewall surface for different tilt angles and different hardmask densities.
  • top, bottom, over, under and the like in the description and the claims are used for descriptive purposes and not necessarily for describing relative positions.
  • the terms so used are interchangeable under appropriate circumstances and the embodiments of the invention described herein can operate in other orientations than described or illustrated herein. For example “underneath” and “above” an element indicates being located at opposite sides of this element.
  • blocking mask material as referred to in this application is used to define a mask material which blocks the dopant ions completely or partially during the implantation step. If the mask material completely blocks the dopant ions, the dopant ions cannot pass through said mask material and can thus not reach the surface directly under said mask material. This means the surface located directly under said mask material will not be doped by said dopant ions. If the mask material partially blocks the dopant ions, some of the dopant ions pass through the said mask material whereas some others can not pass through the said mask material. This means the surface located directly under said mask material will be doped by said partially passed through dopant ions.
  • blocking factor as referred to in this application is used to define the ratio of the doping concentration the surface gets when a blocking mask material is used during the implantation step with dopant ions of said surface to the doping concentration the surface gets when no mask material is used during the implantation step with dopant ions of said surface. If a blocking mask material is used which completely blocks the dopant ions, the blocking factor is zero since no dopant ions can reach the top surface of said fin due to the completely blocking mask layer. As soon a partially blocking mask material is used, the blocking factor becomes greater than zero. If no blocking mask material is used, the blocking factor is 1, since all dopant ions will reach the top surface of the fin.
  • a planar field effect transistor consists of a channel which is in the plane of the wafer surface and a gate which is located on top of this wafer surface in the same plane as the channel.
  • the present invention is related to fin-based field effect transistors.
  • a semiconductor material e.g. Si, SiGe, Ge, III-V material, GaAs, etc.
  • a fin-based device is also often referred to as a FinFET device.
  • the fin (101) is raised above the wafer/substrate surface (100).
  • the fin (101) is determined by its width (W), height (H) and length (L) and comprises a top surface (102), a first (left) sidewall surface (103) and a second (right) sidewall surface (104).
  • a gate electrode is wrapped on the channel region of the fin.
  • different types of finfet devices can be defined.
  • a double-gate finfet is a finfet device where the gate only controls the conductivity of the two sidewall surfaces of the fin.
  • a multi-gate device (MUGFET) is a fin-based device where the gate controls the conductivity of the two sidewall surfaces and the top surface and/or bottom surface of the fin.
  • an omega-gate finfet is a multi-gate device where the gate controls the conductivity of the two sidewall surfaces and the top surface of the fin.
  • An U-gate finfet is a multi-gate device where the gate controls the conductivity of the two sidewall surfaces and the bottom surface of the fin.
  • a round-gate finfet is a multi-gate device where the gate controls the conductivity of the two sidewall surfaces, the top surface of the fin and the bottom surface of the fin.
  • a FinFET or a MUGFET can be fabricated on a semiconductor-on-insulator substrate (SOI).
  • SOI substrates can be made in different ways, such as separation by implanted oxygen (IMOX) or wafer bonding. Examples may be silicon-on-insulator, strained silicon-on-insulator substrates (SSOI) or relaxed Si 1-x Ge x -on-insulator (SGOI).
  • IMOX implanted oxygen
  • SSOI strained silicon-on-insulator substrates
  • SGOI relaxed Si 1-x Ge x -on-insulator
  • a FinFET/MUGFET can also be fabricated on bulk semiconductor material and is then referred to as bulk FinFET/MUGFET.
  • the present invention provides a method for doping a multi-gate device comprising the steps of patterning at least one fin in a substrate, each fin comprising a top surface and two sidewall surfaces, depositing a gate stack over said fin, patterning said gate stack, doping said fin characterized in that it further comprises depositing a blocking mask material on at least the top surface of said fin after the step of depositing said gate stack implanting said fin with dopant ions at an incident angle with respect to the normal of the top surface of said fin whereby said blocking mask material partially or completely blocks the top surface of said fin from said dopant ions.
  • the present invention also provides a method for doping a multi-gate device comprising the steps of patterning at least one fin in a substrate, each fin comprising a top surface , a first sidewall surface and a second sidewall surface, patterning a gate electrode over said fin, doping said fin by implanting it with dopant ions, such that the method further comprises the steps of: providing a blocking mask material after said step of patterning a gate electrode, such that said blocking mask material is present on at least part of the top surface said fin and not on the sidewall surfaces of the fin, wherein said blocking mask material at least partially blocks the top surface of said fin from said dopant ions, implanting said fin with dopant ions at an incident angle different from zero with respect to the normal to the top surface of said fin.
  • At least one fin is patterned in the substrate by using a photolithographic step or by using spacer technology for patterning spacer defined fins.
  • the fin (101) has a width (W), a height (H) and a length (L).
  • the fin comprises a top surface (102) and two sidewall surfaces (103,104). More specifically a first (left) sidewall surface (103) and a second (right) sidewall surface (104) are defined.
  • the fin width is about 10 nm to 20 nm and the fin height is about 60 nm.
  • a plurality of fins is patterned in the substrate by using a photolithographic step or by using spacer technology for patterning spacer defined fins.
  • said plurality of fins comprises at least two fins (201a, 201b) with an inter-fin distance also often referred to as fin pitch (P).
  • Each fin has a fin width (W), a fin height (H) and a fin length (L).
  • Each fin comprises a top surface (202a, 202b) and two sidewall surfaces (203a, 203b, 204a, 204b).
  • each fin consist of a first (left) sidewall surface (203a, 203b) and a second (right) sidewall surface (204a, 204b).
  • the fin width is preferably between 10 nm and 20 nm
  • the fin height is preferably 60 nm
  • the fin pitch is preferably 100 nm.
  • a gate stack may be deposited over said at least one fin.
  • Said gate stack consists of a gate dielectric material (307) and a gate electrode material (308). Following the deposition of the said gate stack, it may be patterned over said at least one fin, as represented in fig. 3.
  • Said gate dielectric material (307) is chosen from e.g. silicon oxide, silicon nitride, high-k material or other dielectric materials known for a person skilled in the art.
  • the thickness of said gate dielectric material is preferably in the range of 10 ⁇ to 20 ⁇ and can be deposited by a CVD technique or any other deposition technique known for a person skilled in the art.
  • Said gate dielectric material covers at least the top surface and the two sidewall surfaces of said fin.
  • Said gate electrode material (308) is chosen from e.g. undoped polycrystalline silicon, silicon germanium or any other conductive material, e.g. metals, known for a person skilled in the art, such as TiN, Ta(Si)N, NiSi, Ir, Pd, Ni, Mo, MoN, Pt, RuO 2 , CrSi 2 , MoSi 2 ,....
  • Said gate electrode material can be deposited by a CVD technique or any other deposition technique known for a person skilled in the art.
  • Said gate electrode material covers said gate dielectric material and thus at least the top surface and the two sidewall surfaces of said fin. The deposition may be followed by a developing and etching step to pattern the gate electrode.
  • a blocking mask material (409) is deposited at least on the top surface (402) of said at least one fin.
  • the mask material is not deposited on the sidewall surfaces (403, 404) of said fin.
  • said blocking mask material (409) may be deposited on the substrate at both sides next to said fin or in case a plurality of fins is patterned in between successive fins.
  • Said blocking mask material (409) serves as a blocking material to completely or partially block the dopant ions in the subsequent implantation step.
  • Said blocking mask material present on at least part of the top surface of the fin, at least partially blocks the top surface of the fin from the dopant ions.
  • Said blocking mask material may also completely block the top surface of the fin from the dopant ions.
  • Said blocking material may be preferably deposited by any line-of-sight deposition technique such as e.g. MBE, CVD, PECVD, sputtering.
  • a line-of-sight deposition technique is meant a technique for which deposition only occurs in the line-of-sight from the source, as shown also in figure 5 with the dashed arrows.
  • said blocking material will not be deposited on the sidewall surfaces of said at least one fin.
  • Said blocking material will be deposited at least on the top surface of said fin.
  • FIG. 5A is a microscopy image (scanning electron microscopy) showing one isolated fin (501) on which an amorphous carbon hard mask material (509) is deposited using PECVD.
  • FIG. 5B is a microscopy image (scanning electron microscopy) showing a plurality of fins (e.g. two fins) on which an amorphous carbon hard mask material is deposited using PECVD.
  • the fin height is approximately 100 nm
  • the fin width is approximately 100 nm
  • the fin pitch is approximately 250 nm.
  • the amorphous carbon hardmask is deposited on the top surface of the fin and on the substrate/wafer surface in between successive fins. No material is deposited on the sidewalls of the fin.
  • the thickness of the amorphous carbon hard mask film is about 55 nm. For dense structures, it would be possible to limit the deposition of the blocking material in between the successive fins by tuning the deposition process parameters.
  • an angle ⁇ is visible at the bottom of the fin between the sidewall of the fin and the deposited mask material. Doping of the bottom part of the fin can be ensured as long as the implant angle is much smaller than the angle ⁇ .
  • the angle ⁇ is measured to be in the range of 50 degrees for dense fins to 70 degrees for isolated fins. Since the implant angle of dopant ions is much smaller, e.g. 10 degrees for a 32 nm technology node, the bottom part of the fins will be doped without any problem.
  • the thickness of the blocking mask material should preferably be thicker than 5 nm. If the thickness of the blocking mask material is too thick, the bottom of the fin (i.e. the bottom of the sidewalls of the fin) cannot be doped.
  • a box recess (613) can be etched (using f.e. a dry etch) in the substrate in between the fins (601).
  • the blocking mask material (609) is deposited in said box recess. With this method the thickness of the blocking mask material may be chosen thicker (f.e. 30 nm). This facilitates the doping of the bottom of the fin in the subsequent implantation steps since almost all blocking mask material will be located in the box recess.
  • Figure 7 shows some analytical simulations where different thickness and different density for the blocking mask material are used (e.g. amorphous carbon hardmask).
  • the doping range is plotted in function of the top surface and sidewall surface for different thickness and different density of blocking mask material.
  • the doping range means the depth of said top surface and said sidewall surface into the fin which is doped.
  • an implantation of Arsenic is simulated at a doping energy of 5 keV with an implantation tilt angle of 10 degrees with respect to the normal to the top surface of the fin.
  • Figure 7A shows the results for an implantation done without using a (blocking) hardmask material.
  • the blocking factor is 1 since all the dopant ions will reach the top surface.
  • the top surface receives more than double of the dose as the sidewall surface, which leads to a non-uniform doping profile along the fin.
  • the doping range for the top surface (approximately 8 nm) is more than double of the doping range at the sidewall surface (approximately 3 nm).
  • Figure 7B shows the results for an implantation done using a partially blocking hardmask material. With a density of said hardmask material of 1.4 g/cm 3 and a thickness of said hardmask material of 5 nm, the doping range becomes much smaller compared to the doping range received at the top surface of the fin without using a hardmask material.
  • an amorphous carbon hardmask film is deposited with a thickness in the range of 5 to 10 nm and a density in the range of 1.2 and 1.5 g/cm 3
  • the fin or the plurality of fins are doped by implanting it with dopant ions.
  • the fin or the plurality of fins are doped by at least a tilt angle implantation.
  • Said fins may be doped with n-type, e.g. Arsenic, Phosphorus or may be doped p-type impurities, e.g. Boron.
  • the impurities are implanted at a tilt angle below 90 degrees with respect to the normal of the top surface of said fin.
  • the tilt angle is preferably below 45 degrees.
  • the tilt angle may is in the range between 0 and 20 degrees.
  • the tilt angle is in the range between 0 and 10 degrees.
  • a tilt angle of 10 degrees or smaller is used to dope the fin.
  • the implantation dosage and the implantation energy used to implant the fin with dopant impurities is dependent on the desired dopant profile, the fin height, the fin pitch, the fin width, the thickness of the blocking mask material.
  • doping the fin with a tilt angle e.g. an angle different from zero with respect to the normal of the top surface, at least two implantation steps are necessary.
  • a first implantation step the left sidewall surface and the top surface of the fin or the plurality of fins is doped with a tilt angle ⁇ .
  • the right sidewall surface and the top surface of the fin or the plurality of fins is doped with a tilt angle ⁇ .
  • the tilt angle ⁇ may be different in absolute value from the tilt angle ⁇ .
  • the tilt angle is the same in absolute value and is symmetrical.
  • the second incident angle ⁇ is preferably equal and opposite to said first incident angle ⁇ .
  • the second incident angle ⁇ is preferably equal to - ⁇ .
  • a partially blocking mask material (909) is deposited at least on the top surface of a fin or a plurality of fins (figure 9A).
  • the left sidewall surface (903) of the fin (901) or the plurality of fins is doped by performing a first implantation step at a tilt angle ⁇ .
  • the left sidewall surface of the fin is implanted as well as the top surface (902) which is only partially blocked by the blocking mask material.
  • a second implantation step (figure 9B) is done at the tilt angle ⁇ to dope the right sidewall surface of the fin or the plurality of fins.
  • the right sidewall (904) surface of the fin is also implanted as well as the top surface (902) which is only partially blocked by the blocking mask material.
  • a uniform doping profile is achieved along the fin.
  • the sheet resistance ratio of the top surface to the sidewall surfaces will be close to 1.
  • the sheet resistance is in the range of 0.8 to 1.4.
  • the step of implanting the fin can be done using ion implantation or plasma doping (PLAD).
  • a sheet resistance ratio close to 1 can be achieved between the sheet resistance achieved at the top surface of the fin and the sheet resistance achieved at the sidewall surfaces of the fin.
  • a sheet resistance ratio in between 0.8 and 1.4 is achieved.
  • a completely blocking mask material (809) is deposited at least on the top surface of a fin or a plurality of fins.
  • the left sidewall surface (803) of the fin or the plurality of fins is doped by performing a first implantation step at a tilt angle ⁇ to dope the first sidewall surface (803) of the fin (figure 8A).
  • a second implantation step (figure 8B) is done at a tilt angle ⁇ to dope the right sidewall surface (804) of the fin or the plurality of fins.
  • the second tilt angle ⁇ is preferably equal and opposite to the first tilt angle ⁇ .
  • the ratio of the sheet resistance of the top surface to the sidewall surfaces is calculated for different implantation tilt angles and different hardmask material densities. Ideally, if the doping would be uniform along the fin, a ratio of 1 is measured. This means the doping (resistance) at the top surface is equal to the doping (resistance) at the sidewall surfaces.
  • a ratio of more than 2 is measured when using small tilt angles. For example for a 32 nm technology node a tilt angle lower than 10 degrees is necessary and thus the implantation without using a mask is not satisfactory to achieve a uniform doping as is known from the prior art.
  • the ratio of the sheet resistance between top surface and sidewall surfaces can be decreased to values lower than 2 and thus gets closer to the ideal value of 1.
  • a higher density (e.g. 2 gr/cm 3 ) of the blocking mask material even a ratio can be achieved very close to 1.
  • the present invention for doping a multi-gate device can be employed to fabricate a multi-gate device with a uniform doping around the channel region of the fin (i.e. on both sides of the channel region, i.e. at the source/drain regions), i.e. such that the ratio of the resistance on said top surface of the fin to the resistance on said sidewall surfaces of the fin is close to 1 after the implanting step.
  • Said multi-gate device comprises at least one fin comprising a top surface, two sidewall surfaces and a bottom surface, a gate dielectric and gate electrode around said fin covering at least part of said top surface of said fin, a source and drain region, a channel region in between said source/drain region for which the ratio of the resistance in the channel on the top surface of the fin to the resistance in the channel on the sidewall surfaces of the fin is close to 1.
  • Said multi-gate device may further comprise spacers, isolation regions in between different fins and interconnect regions. These regions may be fabricated following the fabrication process known for a person skilled in the art.

Abstract

A method is disclosed for doping a multi-gate device. The method includes patterning a fin in a substrate, depositing a gate stack, and doping the fin. The step of doping the fin is done by depositing a blocking mask material at least on the top surface of the fin after the patterning of the gate stack. After the deposition of the blocking mask material dopant ions are implanted whereby the blocking mask material partially or completely blocks the top surface of the fin from these dopant ions.

Description

    Field of the Invention
  • The present invention is related to the field of semiconductor devices. More particularly this invention is related to the field of fin-based devices such as multi-gate devices and in particular to a method for doping such multi-gate devices.
  • Background of the Invention
  • Scaling down of silicon MOS devices has become a major challenge in the semiconductor industry. Whereas at the beginning device geometrical shrinking already gave a lot of improvements in IC performance, nowadays new techniques, methods, materials and device architectures have to be introduced beyond the 90 nm technology node.
  • One major problem when scaling conventional planar devices are the short channel effects which start to dominate over the device performance. A solution for this problem came with the introduction of multi-gate field effect transistors (MUGFET), a fin-based realization of such devices referred to as FINFETs. Due to their three dimensional architecture, with the gate wrapped around a thin silicon fin, an improved gate control (and thus less short channel effects) over the channel could be achieved by using multiple gates.
  • An important issue for the fabrication of these FinFETs is the uniform doping of the source-drain extensions. For conventional planar devices source-drain extensions can easily be performed by doing ion implantation. In this way source-drain regions can be made in the plane of the wafer surface. For FinFETs however the doping of the source-drain extensions has to be done in a three dimensional way. More particularly doping of the top surface and doping of the sidewalls of the fin is necessary. This is typically done by applying two ion implantation steps, as also described in U.S. patent application US2004/0217433 . In a first step dopant ions are implanted at an angle α with respect to the normal to the top surface of the semiconductor fin in order to dope the first sidewall surface and the top surface. In a second step dopant ions are implanted at an angle β (which magnitude is preferably equal to angle α) with respect to the normal to the top surface of the semiconductor fin in order to dope the second sidewall surface and the top surface. With this method the top of the fin receives the implant in both the implantation steps. This results in source-drain extension junctions which are not uniform (or conformal) all around the fin. Otherwise said, the total dose received at the top surface of the fin differs from the total dose received at the sidewall surface. This is not optimal for the device performance and short channel effect control. To obtain an optimal device performance, the doping (or dose or sheet resistance) ratio, which is the doping (or dose or sheet resistance) at the top surface versus the doping (or dose or sheet resistance) at the sidewall surface, should be close to 1. Otherwise said, the total dose received at the top surface of the fin should be equal to the total dose received at the sidewall of the fin. By using large implantation angles (i.e preferably an angle about 63 degrees), the doping ratio (or dose ratio or sheet resistance ratio) becomes optimal, i.e. close to 1.
  • Furthermore for 32 nm high density circuits or smaller, the source/drain extension implantations are limited to an implantation angle around or smaller than 10 degrees.
  • It is a purpose of this invention to provide a method for doping a fin-based semiconductor device that overcomes the disadvantages as described above. More particularly it is the aim of the present invention to achieve a sheet resistance ratio at the top surface to the sidewall surfaces which is close to 1 especially for devices having scaling down characteristics such as 32 nm devices.
  • Summary of the Invention
  • The present invention is related to a method for doping a multi-gate device comprising the steps of patterning at least one fin in a substrate, each fin comprising a top surface, a first sidewall surface and a second sidewall surface, patterning a gate electrode over said fin, doping said fin by implanting it with dopant ions, characterized in that the method comprises the steps of:
    • providing a blocking mask material after said step of patterning a gate electrode, such that said blocking mask material is present on at least part of the top surface of said fin and not on the sidewall surfaces of the fin (i.e. the sidewall surfaces remain exposed), wherein said blocking mask material at least partially blocks the top surface of said fin from said dopant ions,
    • implanting said fin with dopant ions at an incident angle different from zero with respect to the normal to the top surface of said fin.
  • In an embodiment of the present invention, the step of implanting said fin with dopant ions comprises a first implantation step with said dopant ions at a first incident angle α, with respect to the normal of the top surface of said fin to dope at least the first sidewall of said fin and a second implantation step with said dopant ions at a second incident angle β, with respect to the normal of the top surface of said fin to dope at least the second sidewall of said fin. Both angles α and β being different from 0.
  • Said first incident angle α and said second incident angle β are preferably smaller than 45 degrees. According to a further embodiment, said first incident angle α and said second incident angle β are smaller than 10 degrees.
  • Said second incident angle β is preferably equal and opposite to said first incident angle α.
  • It is an advantage of the present invention that a limited number of implantation steps are used. More particularly two implantation steps can be sufficient to dope the fin uniformly, i.e. to dope the sidewall surfaces and the top surface of the fin uniformly, by using a blocking mask which partially blocks the top surface of said fin from said dopant ions.
  • It is an advantage of the present invention that small implantation angles, i.e. smaller than 45 degrees, i.e. smaller than 10 degrees, may be used. This is especially beneficial for 32nm technology node or smaller.
  • According to a first preferred embodiment, said blocking mask material partially blocks the top surface of said fin from said dopant ions such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to or equal to 1, after the implanting step.
  • It is an advantage of the present invention that the fin is uniformly doped. This means that the dose ratio (or resistance ratio or resistivity ratio), i.e. the ratio of dose (or resistance or resistivity) received at the top surface of the fin to the dose (or resistance or resistivity) received at the sidewall surface of the fin, is equal or close to 1. It is an advantage of the present invention that the source/drain extension resistance may be reduced.
  • In an embodiment of the present invention said blocking mask material is removed after implanting said fin with dopant ions at an angle different from zero. In particular, if the mask has the characteristic to block more and less half of the implantation, there is no need to perform an extra implantation step for the top surface.
  • In another preferred embodiment of the present invention said blocking mask material completely blocks the top surface of said fin from said dopant ions. In this case, the method comprises an additional implantation step with said dopant ions at an incident angle θ=0 degrees with respect to the normal of the top surface of said fin. Said additional implantation step can be done after said step of removing said blocking mask material, said extra step of implantation after said removal step being necessary in the case of a completely blocking mask.
  • Another possibility is to start with an implantation of the top surface (with an angle of 0°) followed by the deposition of the mask on said top surface, itself followed by two implantation steps with incident angle (α and β) different from 0.
  • In an embodiment of the present invention said blocking mask material has a density and a thickness which is chosen in function of the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin.
  • Preferably said blocking mask material has a thickness larger than 5 nm. Preferably said blocking mask material has a density larger than 1.18 gm/cm3, larger than 1.3 gm/cm3.
  • In a preferred embodiment said blocking mask material is also deposited on the substrate at both sides of the fin. A box recess may be etched in the substrate adjacent to the fin, or if more than one fin is available, in the substrate in between the fins, before depositing the blocking mask material.
  • The invention is equally related to a method for doping a multi-gate device comprising the steps of patterning at least one fin in a substrate, said fin comprising a top surface and two sidewall surfaces, depositing a gate stack over the fin, patterning said gate stack over said fin, doping said fin characterized in that it further comprises the step of depositing a blocking mask material on at least part of the top surface of said fin after the step of patterning said gate electrode, implanting said fin with dopant ions at an incident angle different from 0 degrees with respect to the normal of the top surface of said fin whereby said blocking mask material partially or completely blocks the top surface of said fin from said dopant ions.
  • In a preferred embodiment of the present invention a blocking mask material is deposited on at least the whole top surface of said fin after the step of patterning said gate electrode.
  • In a preferred embodiment of the present invention said method for doping a multi-gate device further comprises the step of removing said completely or partially blocking mask material after said implanting step.
  • In a preferred embodiment of the present invention said step of implanting said fin comprises a first implantation step with said dopant ions at a first incident angle (α) with respect to the normal of the top surface of said fin whereby said blocking mask material partially or completely blocks the top surface of said fin from said dopant ions and a second implantation step with said dopant ions at the opposite incident angle (-α) of said first incident angle with respect to the normal of the top surface of said fin whereby said blocking mask material partially or completely blocks the top surface of said fin from said dopant ions.
  • Advantageously, said blocking mask material partially blocks the top surface of said fin from said dopant ions such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to 1 after said implanting step.
  • In another preferred embodiment of the present invention, if said blocking mask material completely blocks the top surface of said fin from said dopant ions, the method further comprises the step of implanting said top surface of said fin with dopant ions, possibly after removing said completely blocking mask material, possibly such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to 1 after said step of implanting said top surface.
  • In a preferred embodiment of the present invention said step of implanting said top surface is done perpendicular to said top surface of said fin, thereby defining an incident angle equal to 0°.
  • The partially blocking mask may be removed after the first and the second implantation steps. The completely blocking mask may be removed after the first and the second implantation steps. Preferably before said additional perpendicular implantation step (i.e. the implantation step at an angle θ=0 degrees) for implanting the top surface of the fin can be performed before the removal of said blocking mask or can be performed before the deposition of said mask.
  • In an embodiment of the present invention said incident angle is within the range from 0 degrees to about 20 degrees with respect to the normal of said top surface of said fin.
  • In an embodiment of the present invention said blocking mask material blocks said dopant ions depending on the density and the thickness of said blocking mask material.
  • In an embodiment of the present invention said incident angle is determined by the thickness of said blocking mask material.
  • In an embodiment of the present invention said blocking mask material is chosen from amorphous carbon, oxide or nitride.
  • In an embodiment of the present invention said blocking mask material is deposited on at least the top surface of said fin using a line of sight deposition technique.
  • In an embodiment of the present invention said line of sight deposition technique is chosen from CVD or PECVD or MBE or sputtering.
  • In an embodiment of the present invention said dopant ions are selected from the group consisting of B, As, P, Sb, BF3.
  • In an embodiment of the present invention said step of patterning at least one fin further comprises providing an array of fins located at an inter-fin distance from each other. Said inter-fin distance (i.e. said pitch) is preferably smaller than 100 nm. More specifically, the inter-fin distance should be 64 nm or smaller (for 32 nm technology node), 44 nm or smaller (for 22 nm technology node).
  • In an embodiment of the present invention said step of doping the fin is achieved by plasma doping technology (also known as PLAD) or by ion implantation.
  • Also a multi-gate device is disclosed obtainable with the method of the present invention. By applying the method of the present invention for doping a multi-gate device a uniform doping around the channel region of the fin can be achieved such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to 1 after said implanting step. More particularly a uniform doping around the channel region, i.e. in the source/drain extension regions of the multi-gate device is obtained by using the method of the present invention.
  • Description of the Drawings
  • All drawings are intended to illustrate some aspects and embodiments of the present invention. The drawings described are only schematic and are non-limiting.
  • Exemplary embodiments are illustrated in referenced figures of the drawings. It is intended that the embodiments and figures disclosed herein be considered illustrative rather than restrictive.
  • Figure 1 is a schematic overview of one fin and the associated fin geometry parameters.
  • Figure 2 represents a schematic overview of at least one fin (two fins) and the associated fin geometry parameters.
  • Figure 3 is a 3-dimensional schematic overview of an example of a multi-gate device from the present invention.
  • Figure 4 gives a cross-sectional view of the method of the present invention.
  • Figure 5 are SEM images of a blocking mask material deposited on an array of fins.
  • Figure 6 gives an overview of the ion implant range in function of a blocking mask material and its thickness and density.
  • Figure 7 gives a schematic overview of the method of the present invention where a completely blocking mask material is used for doping at least one fin.
  • Figures 8 and 9 give a schematic overview of the method of the present invention where a partially blocking mask material is used for doping at least one fin.
  • Figure 10 gives the ratio of sheet resistance at the top surface to the sidewall surface for different tilt angles and different hardmask densities.
  • Detailed Description of the Invention
  • One or more embodiments of the present invention will now be described in detail with reference to the attached figures, the invention is not limited thereto but only by the claims. The drawings described are only schematic and are non-limiting. In the drawings, the size of some of the elements may be exaggerated and not drawn on scale for illustrative purposes. The dimensions and the relative dimensions do not necessarily correspond to actual reductions to practice of the invention. Those skilled in the art can recognize numerous variations and modifications of this invention that are encompassed by its scope. Accordingly, the description of preferred embodiments should not be deemed to limit the scope of the present invention.
  • Furthermore, the terms first, second and the like in the description and in the claims are used for distinguishing between similar elements and not necessarily for describing a sequential or chronological order. It is to be understood that the terms so used are interchangeable under appropriate circumstances and that the embodiments of the invention described herein are capable of operation in other sequences than described or illustrated herein.
  • Moreover, the terms top, bottom, over, under and the like in the description and the claims are used for descriptive purposes and not necessarily for describing relative positions. The terms so used are interchangeable under appropriate circumstances and the embodiments of the invention described herein can operate in other orientations than described or illustrated herein. For example "underneath" and "above" an element indicates being located at opposite sides of this element.
  • It is to be noticed that the term "comprising", used in the claims, should not be interpreted as being restricted to the means listed thereafter; it does not exclude other elements or steps. Thus, the scope of the expression "a device comprising means A and B" should not be limited to devices consisting only of components A and B. It means that with respect to the present invention, the only relevant components of the device are A and B.
  • The term "blocking mask material" as referred to in this application is used to define a mask material which blocks the dopant ions completely or partially during the implantation step. If the mask material completely blocks the dopant ions, the dopant ions cannot pass through said mask material and can thus not reach the surface directly under said mask material. This means the surface located directly under said mask material will not be doped by said dopant ions. If the mask material partially blocks the dopant ions, some of the dopant ions pass through the said mask material whereas some others can not pass through the said mask material. This means the surface located directly under said mask material will be doped by said partially passed through dopant ions.
  • The term "blocking factor" as referred to in this application is used to define the ratio of the doping concentration the surface gets when a blocking mask material is used during the implantation step with dopant ions of said surface to the doping concentration the surface gets when no mask material is used during the implantation step with dopant ions of said surface. If a blocking mask material is used which completely blocks the dopant ions, the blocking factor is zero since no dopant ions can reach the top surface of said fin due to the completely blocking mask layer. As soon a partially blocking mask material is used, the blocking factor becomes greater than zero. If no blocking mask material is used, the blocking factor is 1, since all dopant ions will reach the top surface of the fin.
  • A planar field effect transistor consists of a channel which is in the plane of the wafer surface and a gate which is located on top of this wafer surface in the same plane as the channel. The present invention is related to fin-based field effect transistors. For fabricating a fin-based field effect transistor, a semiconductor material (e.g. Si, SiGe, Ge, III-V material, GaAs,...) is patterned to form a fin-like shaped body. Due to this fin-shaped body a fin-based device is also often referred to as a FinFET device. As described in fig. 1, the fin (101) is raised above the wafer/substrate surface (100). The fin (101) is determined by its width (W), height (H) and length (L) and comprises a top surface (102), a first (left) sidewall surface (103) and a second (right) sidewall surface (104). A gate electrode is wrapped on the channel region of the fin. Depending on the shape of the gate electrode, different types of finfet devices can be defined. A double-gate finfet is a finfet device where the gate only controls the conductivity of the two sidewall surfaces of the fin. A multi-gate device (MUGFET) is a fin-based device where the gate controls the conductivity of the two sidewall surfaces and the top surface and/or bottom surface of the fin. For example, an omega-gate finfet (Ω-gate finfet) is a multi-gate device where the gate controls the conductivity of the two sidewall surfaces and the top surface of the fin. An U-gate finfet is a multi-gate device where the gate controls the conductivity of the two sidewall surfaces and the bottom surface of the fin. A round-gate finfet is a multi-gate device where the gate controls the conductivity of the two sidewall surfaces, the top surface of the fin and the bottom surface of the fin.
  • A FinFET or a MUGFET can be fabricated on a semiconductor-on-insulator substrate (SOI). SOI substrates can be made in different ways, such as separation by implanted oxygen (IMOX) or wafer bonding. Examples may be silicon-on-insulator, strained silicon-on-insulator substrates (SSOI) or relaxed Si1-xGex-on-insulator (SGOI). Alternatively a FinFET/MUGFET can also be fabricated on bulk semiconductor material and is then referred to as bulk FinFET/MUGFET.
  • The present invention provides a method for doping a multi-gate device comprising the steps of patterning at least one fin in a substrate, each fin comprising a top surface and two sidewall surfaces, depositing a gate stack over said fin, patterning said gate stack, doping said fin characterized in that it further comprises depositing a blocking mask material on at least the top surface of said fin after the step of depositing said gate stack implanting said fin with dopant ions at an incident angle with respect to the normal of the top surface of said fin whereby said blocking mask material partially or completely blocks the top surface of said fin from said dopant ions.
  • The present invention also provides a method for doping a multi-gate device comprising the steps of patterning at least one fin in a substrate, each fin comprising a top surface , a first sidewall surface and a second sidewall surface, patterning a gate electrode over said fin, doping said fin by implanting it with dopant ions, such that the method further comprises the steps of: providing a blocking mask material after said step of patterning a gate electrode, such that said blocking mask material is present on at least part of the top surface said fin and not on the sidewall surfaces of the fin, wherein said blocking mask material at least partially blocks the top surface of said fin from said dopant ions, implanting said fin with dopant ions at an incident angle different from zero with respect to the normal to the top surface of said fin.
  • In a first step of the method of the present invention, at least one fin is patterned in the substrate by using a photolithographic step or by using spacer technology for patterning spacer defined fins. The fin (101) has a width (W), a height (H) and a length (L). The fin comprises a top surface (102) and two sidewall surfaces (103,104). More specifically a first (left) sidewall surface (103) and a second (right) sidewall surface (104) are defined. As example for a 32 nm technology node device, the fin width is about 10 nm to 20 nm and the fin height is about 60 nm.
  • In a preferred embodiment of the present invention a plurality of fins is patterned in the substrate by using a photolithographic step or by using spacer technology for patterning spacer defined fins. As described in fig. 2, said plurality of fins comprises at least two fins (201a, 201b) with an inter-fin distance also often referred to as fin pitch (P). Each fin has a fin width (W), a fin height (H) and a fin length (L). Each fin comprises a top surface (202a, 202b) and two sidewall surfaces (203a, 203b, 204a, 204b). Said two sidewall surfaces of each fin consist of a first (left) sidewall surface (203a, 203b) and a second (right) sidewall surface (204a, 204b). For example for a 32 nm technology node, the fin width is preferably between 10 nm and 20 nm, the fin height is preferably 60 nm and the fin pitch is preferably 100 nm.
  • In a second step of the method of the present invention, following the patterning of said at least one fin (301), a gate stack may be deposited over said at least one fin. Said gate stack consists of a gate dielectric material (307) and a gate electrode material (308). Following the deposition of the said gate stack, it may be patterned over said at least one fin, as represented in fig. 3. Said gate dielectric material (307) is chosen from e.g. silicon oxide, silicon nitride, high-k material or other dielectric materials known for a person skilled in the art. The thickness of said gate dielectric material is preferably in the range of 10 Å to 20 Å and can be deposited by a CVD technique or any other deposition technique known for a person skilled in the art. Said gate dielectric material covers at least the top surface and the two sidewall surfaces of said fin.
  • Said gate electrode material (308) is chosen from e.g. undoped polycrystalline silicon, silicon germanium or any other conductive material, e.g. metals, known for a person skilled in the art, such as TiN, Ta(Si)N, NiSi, Ir, Pd, Ni, Mo, MoN, Pt, RuO2, CrSi2, MoSi2,.... Said gate electrode material can be deposited by a CVD technique or any other deposition technique known for a person skilled in the art. Said gate electrode material covers said gate dielectric material and thus at least the top surface and the two sidewall surfaces of said fin. The deposition may be followed by a developing and etching step to pattern the gate electrode.
  • In a third step of the present invention, as described in fig. 4, a blocking mask material (409) is deposited at least on the top surface (402) of said at least one fin. The mask material is not deposited on the sidewall surfaces (403, 404) of said fin. Additionally said blocking mask material (409) may be deposited on the substrate at both sides next to said fin or in case a plurality of fins is patterned in between successive fins. Said blocking mask material (409) serves as a blocking material to completely or partially block the dopant ions in the subsequent implantation step. Said blocking mask material, present on at least part of the top surface of the fin, at least partially blocks the top surface of the fin from the dopant ions. Said blocking mask material may also completely block the top surface of the fin from the dopant ions. Said blocking material may be preferably deposited by any line-of-sight deposition technique such as e.g. MBE, CVD, PECVD, sputtering. With a line-of-sight deposition technique is meant a technique for which deposition only occurs in the line-of-sight from the source, as shown also in figure 5 with the dashed arrows. By using a line-of-sight deposition said blocking material will not be deposited on the sidewall surfaces of said at least one fin. Said blocking material will be deposited at least on the top surface of said fin. If a plurality of fins is patterned, said blocking mask material will be present on the top surface of the fins and on the substrate/wafer surface in between said successive fins. Said blocking material is chosen from any material that can be deposited by a line-of-sight deposition technique or any other material which can completely or partially block dopant ions known for a person skilled in the art. For example amorphous carbon hard mask material can be used for this blocking mask material. Figure 5A is a microscopy image (scanning electron microscopy) showing one isolated fin (501) on which an amorphous carbon hard mask material (509) is deposited using PECVD. By using this line-of-sight deposition technique the amorphous carbon hardmask is deposited on the top surface of the fin and on the wafer surface at both sides next to the fin (509). No material is deposited on the sidewalls of the fin. The thickness of the amorphous carbon hard mask material is about 55 nm. Figure 5B is a microscopy image (scanning electron microscopy) showing a plurality of fins (e.g. two fins) on which an amorphous carbon hard mask material is deposited using PECVD. The fin height is approximately 100 nm, the fin width is approximately 100 nm and the fin pitch is approximately 250 nm. By using this line-of-sight deposition technique the amorphous carbon hardmask is deposited on the top surface of the fin and on the substrate/wafer surface in between successive fins. No material is deposited on the sidewalls of the fin. The thickness of the amorphous carbon hard mask film is about 55 nm. For dense structures, it would be possible to limit the deposition of the blocking material in between the successive fins by tuning the deposition process parameters.
  • In figure 5B, an angle θ is visible at the bottom of the fin between the sidewall of the fin and the deposited mask material. Doping of the bottom part of the fin can be ensured as long as the implant angle is much smaller than the angle θ. The angle θ is measured to be in the range of 50 degrees for dense fins to 70 degrees for isolated fins. Since the implant angle of dopant ions is much smaller, e.g. 10 degrees for a 32 nm technology node, the bottom part of the fins will be doped without any problem.
  • The thickness of the blocking mask material should preferably be thicker than 5 nm. If the thickness of the blocking mask material is too thick, the bottom of the fin (i.e. the bottom of the sidewalls of the fin) cannot be doped. A box recess (613) can be etched (using f.e. a dry etch) in the substrate in between the fins (601). In a next step the blocking mask material (609) is deposited in said box recess. With this method the thickness of the blocking mask material may be chosen thicker (f.e. 30 nm). This facilitates the doping of the bottom of the fin in the subsequent implantation steps since almost all blocking mask material will be located in the box recess.
  • Depending on the blocking factor of this blocking mask material, the thickness and density of this blocking mask material may be scaled. Figure 7 shows some analytical simulations where different thickness and different density for the blocking mask material are used (e.g. amorphous carbon hardmask). The doping range is plotted in function of the top surface and sidewall surface for different thickness and different density of blocking mask material. The doping range means the depth of said top surface and said sidewall surface into the fin which is doped. To dope the fin, an implantation of Arsenic is simulated at a doping energy of 5 keV with an implantation tilt angle of 10 degrees with respect to the normal to the top surface of the fin. Figure 7A shows the results for an implantation done without using a (blocking) hardmask material. In this case the blocking factor is 1 since all the dopant ions will reach the top surface. In this case the top surface receives more than double of the dose as the sidewall surface, which leads to a non-uniform doping profile along the fin. The doping range for the top surface (approximately 8 nm) is more than double of the doping range at the sidewall surface (approximately 3 nm). Figure 7B shows the results for an implantation done using a partially blocking hardmask material. With a density of said hardmask material of 1.4 g/cm3 and a thickness of said hardmask material of 5 nm, the doping range becomes much smaller compared to the doping range received at the top surface of the fin without using a hardmask material. By decreasing the density of the hardmask material to e.g. 1.18 g/cm3 an increase is seen in the doping range at the top surface compared to the higher density. This is explained due to the fact that a denser material will block the dopant ions more compared to a less dense material. The blocking factor thus decreases for a denser hardmask material. When depositing a thicker film of said hardmask material a further decrease is occurring of the doping range measured at the top surface of said fin. This is explained by the fact that a thicker film will block the dopant ions more compared to using a thinner film. The blocking factor thus decreases for a thicker hardmask material. Preferably for an implantation of Arsenic at a doping energy of 5 keV with a implantation tilt angle of 10 degrees with respect to the normal of the top surface of the fin, an amorphous carbon hardmask film is deposited with a thickness in the range of 5 to 10 nm and a density in the range of 1.2 and 1.5 g/cm3
  • In a next step of the present invention the fin or the plurality of fins are doped by implanting it with dopant ions. The fin or the plurality of fins are doped by at least a tilt angle implantation. Said fins may be doped with n-type, e.g. Arsenic, Phosphorus or may be doped p-type impurities, e.g. Boron. The impurities are implanted at a tilt angle below 90 degrees with respect to the normal of the top surface of said fin. The tilt angleis preferably below 45 degrees. According to a further embodiment, the tilt angle may is in the range between 0 and 20 degrees. According to a further embodiment, the tilt angle is in the range between 0 and 10 degrees. For example for a 32 nm technology node a tilt angle of 10 degrees or smaller is used to dope the fin. The implantation dosage and the implantation energy used to implant the fin with dopant impurities is dependent on the desired dopant profile, the fin height, the fin pitch, the fin width, the thickness of the blocking mask material. When doping the fin with a tilt angle, e.g. an angle different from zero with respect to the normal of the top surface, at least two implantation steps are necessary. In a first implantation step the left sidewall surface and the top surface of the fin or the plurality of fins is doped with a tilt angle α. In a second implantation step the right sidewall surface and the top surface of the fin or the plurality of fins is doped with a tilt angle β. The tilt angle α may be different in absolute value from the tilt angle β. Preferably, for both implantation steps the tilt angle is the same in absolute value and is symmetrical. Otherwise said, the second incident angle β is preferably equal and opposite to said first incident angle α. Otherwise said, the second incident angle β is preferably equal to -α.
  • In another preferred embodiment of the present invention a partially blocking mask material (909) is deposited at least on the top surface of a fin or a plurality of fins (figure 9A). After the deposition of the partially blocking mask material, the left sidewall surface (903) of the fin (901) or the plurality of fins is doped by performing a first implantation step at a tilt angle α. After this implantation step the left sidewall surface of the fin is implanted as well as the top surface (902) which is only partially blocked by the blocking mask material. By choosing the appropriate parameters for the blocking mask material (e.g. thickness, blocking factor, density) the doping ratio will be close to 0.5 after this first implantation step. Next a second implantation step (figure 9B) is done at the tilt angle β to dope the right sidewall surface of the fin or the plurality of fins. After this implantation step the right sidewall (904) surface of the fin is also implanted as well as the top surface (902) which is only partially blocked by the blocking mask material. By using these two subsequent implantation steps a uniform doping profile is achieved along the fin. This means that the sheet resistance ratio of the top surface to the sidewall surfaces will be close to 1. Preferably the sheet resistance is in the range of 0.8 to 1.4. The step of implanting the fin can be done using ion implantation or plasma doping (PLAD).
  • By using the method as described in this preferred embodiment a sheet resistance ratio close to 1 can be achieved between the sheet resistance achieved at the top surface of the fin and the sheet resistance achieved at the sidewall surfaces of the fin. Preferably a sheet resistance ratio in between 0.8 and 1.4 is achieved. After said implantation steps the blocking hardmask material may be removed. The removal of the hardmask material may be done for example by dry etching or any other suitable etching method.
  • In preferred embodiment of the present invention a completely blocking mask material (809) is deposited at least on the top surface of a fin or a plurality of fins. After the deposition of the completely blocking mask material, the left sidewall surface (803) of the fin or the plurality of fins is doped by performing a first implantation step at a tilt angle α to dope the first sidewall surface (803) of the fin (figure 8A). Next a second implantation step (figure 8B) is done at a tilt angle β to dope the right sidewall surface (804) of the fin or the plurality of fins. The second tilt angle β is preferably equal and opposite to the first tilt angle α. After removal of the completely blocking hardmask material the top surface is implanted by a third implantation step (figure 8C) by using an implantation angle which is perpendicular to the top surface (802) of said fin. Otherwise said an additional implantation step with said dopant ions is done at an incident angle θ=0 degrees with respect to the normal of the top surface of the fin. Otherwise said the additional implantation step is vertical with respect to the normal of the top surface of the fin.
  • In figure 10 the ratio of the sheet resistance of the top surface to the sidewall surfaces is calculated for different implantation tilt angles and different hardmask material densities. Ideally, if the doping would be uniform along the fin, a ratio of 1 is measured. This means the doping (resistance) at the top surface is equal to the doping (resistance) at the sidewall surfaces. When using no mask material a ratio of more than 2 is measured when using small tilt angles. For example for a 32 nm technology node a tilt angle lower than 10 degrees is necessary and thus the implantation without using a mask is not satisfactory to achieve a uniform doping as is known from the prior art. When using a 5 nm thick partially blocking mask material with a density of 1.4 gr/cm3, the ratio of the sheet resistance between top surface and sidewall surfaces can be decreased to values lower than 2 and thus gets closer to the ideal value of 1. When using a higher density (e.g. 2 gr/cm3) of the blocking mask material even a ratio can be achieved very close to 1.
  • The present invention for doping a multi-gate device can be employed to fabricate a multi-gate device with a uniform doping around the channel region of the fin (i.e. on both sides of the channel region, i.e. at the source/drain regions), i.e. such that the ratio of the resistance on said top surface of the fin to the resistance on said sidewall surfaces of the fin is close to 1 after the implanting step. Said multi-gate device comprises at least one fin comprising a top surface, two sidewall surfaces and a bottom surface, a gate dielectric and gate electrode around said fin covering at least part of said top surface of said fin, a source and drain region, a channel region in between said source/drain region for which the ratio of the resistance in the channel on the top surface of the fin to the resistance in the channel on the sidewall surfaces of the fin is close to 1. Said multi-gate device may further comprise spacers, isolation regions in between different fins and interconnect regions. These regions may be fabricated following the fabrication process known for a person skilled in the art.

Claims (20)

  1. Method for doping a multi-gate device comprising the steps of:
    - patterning at least one fin (101) in a substrate, each fin comprising a top surface (402), a first sidewall surface (403) and a second sidewall surface (404),
    - patterning a gate electrode (307,308) over said fin,
    - doping said fin by implanting it with dopant ions,
    characterized in that the method comprises the steps of :
    - providing a blocking mask material (409) after said step of patterning a gate electrode, such that said blocking mask material is present on at least part of the top surface (402) of said fin and not on the sidewall surfaces (403,404) of the fin, wherein said blocking mask material (409) at least partially blocks the top surface (402) of said fin from said dopant ions,
    - implanting said fin (101) with dopant ions at an incident angle different from zero with respect to the normal to the top surface (402) of said fin.
  2. The method according to claim 1, comprising :
    - a first implantation step with said dopant ions at a first incident angle α different from zero with respect to the normal of the top surface of said fin to dope at least the first sidewall of said fin and
    - a second implantation step with said dopant ions at a second incident angle β, different from zero with respect to the normal of the top surface of said fin to dope at least the second sidewall of said fin.
  3. The method according to claim 2, wherein said first or second incident angle α or β is smaller than 45 degrees.
  4. The method according to claim 3, wherein said first or second incident angle α or β is smaller than 10 degrees.
  5. The method according to any one of the claim 2 to 4, wherein said second incident angle β equals and is opposite to said first incident angle α.
  6. The method according to any one of the preceding claims, further comprising removing said blocking mask material (409) after implanting said fin with dopant ions at an angle different from zero.
  7. The method according to any one of the preceding claims, wherein said blocking mask material partially blocks the top surface of said fin from said dopant ions such that the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin is close to or equal to 1, after said implanting step.
  8. The method according to anyone of the preceding claims 1 to 6, wherein said blocking mask material completely blocks the top surface of said fin from said dopant ions, and wherein the method comprises an additional implantation step with said dopant ions at an incident angle θ=0 degrees with respect to the normal of the top surface of said fin
  9. The method according to claim 8, whereby said additional implantation step is done before said step of providing a blocking mask material.
  10. The method according to any one of the preceding claims, wherein said blocking mask material has a density and a thickness which is chosen in function of the ratio of the resistance on said top surface to the resistance on said sidewall surfaces of said fin.
  11. The method according to any one of the preceding claims, wherein said blocking mask material has a thickness larger than 5 nm.
  12. The method according to any one of the preceding claims, wherein said blocking mask material has a density larger than 1.18 gm/cm3.
  13. The method according to any one of the preceding claims, wherein said blocking mask material comprises amorphous carbon or oxide or nitride.
  14. The method according to any one of the preceding claims, wherein said blocking mask material is deposited using a line of sight deposition technique.
  15. The method according to claim 13, wherein said line of sight deposition technique is chosen from MBE or CVD or PECVD or sputtering.
  16. The method according to any one of the preceding claims, wherein said dopant ions are selected from the group consisting of P, As, B, Sb, BF3.
  17. The method according to any one of the preceding claims, wherein said step of implanting said fin is done by ion implantation or by plasma doping (PLAD).
  18. The method according to any one of the preceding claims, wherein said step of patterning at least one fin further comprises providing an array of fins located at an inter-fin distance from each other.
  19. The method according to claim 1, wherein said blocking mask material is also deposited on the substrate at both sides of the fin.
  20. The method according to claim 18, wherein a box recess (613) is etched adjacent to the fin, before depositing the blocking mask material.
EP07114868A 2006-08-23 2007-08-23 Method for doping a fin-based semiconductor device Withdrawn EP1916717A3 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP07114868A EP1916717A3 (en) 2006-08-23 2007-08-23 Method for doping a fin-based semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP06119425A EP1892765A1 (en) 2006-08-23 2006-08-23 Method for doping a fin-based semiconductor device
EP07114868A EP1916717A3 (en) 2006-08-23 2007-08-23 Method for doping a fin-based semiconductor device

Publications (2)

Publication Number Publication Date
EP1916717A2 true EP1916717A2 (en) 2008-04-30
EP1916717A3 EP1916717A3 (en) 2010-12-22

Family

ID=39212360

Family Applications (1)

Application Number Title Priority Date Filing Date
EP07114868A Withdrawn EP1916717A3 (en) 2006-08-23 2007-08-23 Method for doping a fin-based semiconductor device

Country Status (1)

Country Link
EP (1) EP1916717A3 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108431928A (en) * 2015-12-31 2018-08-21 上海凯世通半导体股份有限公司 The doping method of FinFET

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6774437B2 (en) * 2002-01-07 2004-08-10 International Business Machines Corporation Fin-based double poly dynamic threshold CMOS FET with spacer gate and method of fabrication
US6821834B2 (en) * 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6992354B2 (en) * 2003-06-25 2006-01-31 International Business Machines Corporation FinFET having suppressed parasitic device characteristics
KR100496891B1 (en) * 2003-08-14 2005-06-23 삼성전자주식회사 Silicon fin for finfet and method for fabricating the same
JP2005086024A (en) * 2003-09-09 2005-03-31 Toshiba Corp Semiconductor device and method for manufacturing same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
None *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108431928A (en) * 2015-12-31 2018-08-21 上海凯世通半导体股份有限公司 The doping method of FinFET
CN108431928B (en) * 2015-12-31 2023-07-25 上海凯世通半导体股份有限公司 FinFET doping method

Also Published As

Publication number Publication date
EP1916717A3 (en) 2010-12-22

Similar Documents

Publication Publication Date Title
EP1892765A1 (en) Method for doping a fin-based semiconductor device
US10930781B2 (en) P-type strained channel in a fin field effect transistor (FinFET) device
US10971585B2 (en) Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
US10840329B1 (en) Nanosheet transistor having improved bottom isolation
US9490340B2 (en) Methods of forming nanowire devices with doped extension regions and the resulting devices
CN204885171U (en) Semiconductor device with perpendicular channel
US9653593B2 (en) Method of fabricating FinFET device and structure thereof
CN110364571B (en) Method for forming semiconductor device
US9431512B2 (en) Methods of forming nanowire devices with spacers and the resulting devices
US9263549B2 (en) Fin-FET transistor with punchthrough barrier and leakage protection regions
US7612420B2 (en) Method for doping a fin-based semiconductor device
US20140001561A1 (en) Cmos devices having strain source/drain regions and low contact resistance
US20200251593A1 (en) Nanosheet transistors with strained channel regions
TW201318170A (en) Replacement source/drain finFET fabrication
US8227304B2 (en) Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer
JP5456090B2 (en) Semiconductor device and manufacturing method thereof
US9553107B2 (en) Shallow extension junction
US20150200275A1 (en) Finfet and method for manufacturing the same
US10128244B2 (en) CMOS devices having charged punch-through stopper layer to reduce punch-through and methods of manufacturing the same
CN108695321B (en) Semiconductor device and method for manufacturing the same
EP1916717A2 (en) Method for doping a fin-based semiconductor device
CN110970300B (en) Stacked gate-all-around fin field effect transistor and forming method thereof
US11862467B2 (en) Semiconductor structure and method of manufacturing the same
CN111725138B (en) Method for manufacturing semiconductor device
CN115064576A (en) Semiconductor device and preparation method thereof

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC MT NL PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL BA HR MK RS

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: IMEC

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC MT NL PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL BA HR MK RS

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 29/78 20060101ALI20101118BHEP

Ipc: H01L 21/336 20060101AFI20101118BHEP

17P Request for examination filed

Effective date: 20110615

AKX Designation fees paid

Designated state(s): BE DE FR GB

17Q First examination report despatched

Effective date: 20170825

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: IMEC VZW

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20190424