EP1877530A2 - Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems - Google Patents

Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Info

Publication number
EP1877530A2
EP1877530A2 EP06749725A EP06749725A EP1877530A2 EP 1877530 A2 EP1877530 A2 EP 1877530A2 EP 06749725 A EP06749725 A EP 06749725A EP 06749725 A EP06749725 A EP 06749725A EP 1877530 A2 EP1877530 A2 EP 1877530A2
Authority
EP
European Patent Office
Prior art keywords
sam
containing composition
microelectronic device
silicon
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP06749725A
Other languages
German (de)
French (fr)
Other versions
EP1877530A4 (en
Inventor
Michael B. Korzenski
Pamela M. Visintin
Thomas H. Baum
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of EP1877530A2 publication Critical patent/EP1877530A2/en
Publication of EP1877530A4 publication Critical patent/EP1877530A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/228Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/162Organic compounds containing Si
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • C11D2111/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks

Definitions

  • the present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, and methods of using said compositions for removal of same.
  • SAM self-assembled monolayer
  • the removal of the ion-implanted photoresist and other contaminants is usually performed by a plasma etch method followed by a multi-step wet strip process, typically using aqueous-based etchant formulations to remove photoresist, post-etch residue and other contaminants.
  • Wet strip treatments in the art generally involve the use of strong acids, bases, solvents, and oxidizing agents.
  • wet strip treatments also etch the underlying silicon-containing layers, such as the substrate and gate oxide, and/or increase the gate oxide thickness.
  • aqueous-based etchant formulations of the prior art.
  • Water has a high surface tension which limits or prevents access to the smaller image nodes with high aspect ratios, and therefore, removing the residues in the crevices or grooves becomes more difficult.
  • aqueous-based etchant formulations often leave previously dissolved solutes behind in the trenches or vias upon evaporative drying, which inhibit conduction and reduce device yield.
  • underlying porous low-k dielectric materials do not have sufficient mechanical strength to withstand the capillary stress of high surface tension liquids such as water, resulting in pattern collapse of the structures.
  • Aqueous etchant formulations can also strongly alter important material properties of the low-k materials, including dielectric constant, mechanical strength, moisture uptake, coefficient of thermal expansion, and adhesion to different substrates.
  • the improved composition shall effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon- containing layer (s).
  • the present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, methods of making and methods of using said compositions for removal of same, and improved microelectronic devices made using the same.
  • the invention relates to a self assembled monolayer (SAM)- containing composition, comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, wherein said SAM-containing composition is suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
  • the present invention relates to a kit comprising, in one or more containers, SAM-containing composition reagents, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and wherein the kit is adapted to form a SAM-containing composition suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
  • the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time and under sufficient contacting conditions to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM- containing composition for sufficient time to at least partially passivate a silicon-containing layer underlying the photoresist material, and contacting the microelectronic device with an etchant-containing removal composition to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition comprises a non- halide containing SAM component.
  • the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition is devoid of an etchant component.
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and optionally incorporating said cleaned microelectronic device into a product.
  • Figure 2 illustrates the cleaning efficiency of a SAM-containing composition of the present invention as a function of temperature for four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion-implanted photoresist layer (Patterned Crust).
  • Bulk PR blanketed photoresist layer
  • Crust blanketed ion-implanted photoresist layer
  • Patterned PR a blanketed ion-implanted photoresist layer
  • Patterned Crust patterned ion-implanted photoresist layer
  • Figures 3A-3C are atomic force micrographs of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe 3 (Figure 3A), Cl 2 SiMe 2 ( Figure 3B), and Cl 3 SiMe ( Figure 3C), in 2 mmol Et 3 N in 10 mL of toluene, with the device surface at a contacting temperature of 70 0 C for 30 min.
  • Figures 4A-4C are optical microscope images ( Figure 4A) and scanning electron microscopic (SEM) images ( Figures 4B-4C) of densely patterned, ion implanted photoresist on a microelectronic device surface.
  • Figures 5A-5C are optical microscope images of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe 3 (Figure 5A), Cl 2 SiMe 2 ( Figure 5B), and Cl 3 SiMe ( Figure 5C), at 7O 0 C for 30 min.
  • Figure 6 illustrates the removal efficiency of a SAM-containing composition of the present invention as a function of SAM functionality for the four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion- implanted photoresist layer (Patterned Crust).
  • Figures 7A-7C are optical microscope images of the control surface (Fig. 7A), the surface following cleaning and passivation using a SAM-containing composition of the invention (Fig. 7B), and the surface following depassivation according to the invention (Fig. 7C).
  • Figures 8A-8E are scanning electron micrographs of the control surface (Fig. 8A), the surface following cleaning and passivation using a SAM-containing composition of the invention (Fig. 8B), the surface following depassivation at a 90° angle view (Fig. 8C) and a 60° angle view (Fig. 8D), and a purposely over-etched surface following depassivation (Fig. 8E).
  • the present invention is based on the discovery of self-assembled monolayer (SAM)- containing compositions that are highly efficacious for the removal of bulk and hardened photoresist from the surface of microelectronic devices, while maintaining the integrity of the underlying silicon-containing layer(s).
  • SAM self-assembled monolayer
  • Hardened photoresist corresponds to the non-carbonized photoresist on the microelectronic device surface, specifically adjacent and below the hardened photoresist crust.
  • Hardened photoresist includes, but is not limited to, photoresist that has been plasma etched, e.g., during back-end-of-line (BEOL) dual-damascene processing of integrated circuits, ion implanted, e.g., during front-end-of-line (FEOL) processing to implant dopant species in the appropriate layers of the semiconductor wafer, and/or any other methodology whereby a carbonized or highly cross-linked crust forms on the exposed surface of the bulk photoresist.
  • BEOL back-end-of-line
  • FEOL front-end-of-line
  • underlying silicon-containing layer corresponds to the layer(s) immediately below the bulk and/or the hardened photoresist including: silicon; silicon oxide, including gate oxides (e.g., thermally or chemically grown SiO 2 ) and TEOS; silicon nitride; and low-k silicon-containing materials.
  • low-k silicon-containing material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • Microelectronic device corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • MEMS microelectromechanical systems
  • substantially over-etching corresponds to greater than about 10% removal, more preferably greater than about 5% removal, and most preferably greater than about 2% removal, of the adjacent underlying silicon-containing layer(s) following contact, according to the process of the present invention, of the SAM-containing compositions of the invention with the microelectronic device having said underlying layer(s). In other words, most preferably no more than 2% of the underlying silicon-containing layer(s) are etched using the compositions of the present invention for the prescribed times. [0029] As used herein, "about” is intended to correspond to ⁇ 5 % of the stated value.
  • suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon corresponds to at least partial removal of said photoresist material from the microelectronic device.
  • at least 90 % of the photoresist material is removed from the microelectronic device using the compositions of the invention, more preferably, at least 95%, and most preferably at least 99% of the photoresist material, is removed.
  • Dispos fluid corresponds to a supercritical fluid or a subcritical fluid.
  • supercritical fluid is used herein to denote a material which is under conditions of not lower than a critical temperature, T c , and not less than a critical pressure, P 0 , in a pressure- temperature diagram of an intended compound.
  • the preferred supercritical fluid employed in the present invention is CO 2 , which may be used alone or in an admixture with another additive such as Ar, NH 3 , N 2 , CH 4 , C 2 H 4 , CHF 3 , C 2 H 6 , n-C 3 H 8 , H 2 O, N 2 O and the like.
  • subcritical fluid describes a solvent in the subcritical state, i.e., below the critical temperature and/or below the critical pressure associated with that particular solvent.
  • the subcritical fluid is a high pressure liquid of varying density.
  • the SAM-containing compositions of the present invention must possess good metal-containing material compatibility, e.g., a low etch rate on the metal-containing material.
  • Metal-containing materials of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium and ruthenium and suicides and nitrides thereof.
  • Self assembled monolayers (SAMs) are known to passivate various surfaces, including, but not limited to, metals (e.g., copper, gold, etc), and oxides of titanium, hafnium, silicon, and aluminum.
  • SAMs include silanes having at least one leaving group, e.g., a halide, said silane readily forming a covalent bond at an oxygen group on a silicon-containing surface (i.e., via a silylation reaction).
  • the silanes themselves may further include covalently bonded inert molecules, such as polyethylene glycol (PEG), whereby following attachment with the silicon- containing surface, the PEG-silane can block other molecules from binding with said surface.
  • PEG-silane SAMs are popular because they are thin (i.e., non-bulky) and hydrophilic, and linkage of the PEG molecule with the silicon-containing surface results in a non-sticky, water- like layer.
  • alkylchlorosilanes may be used to form a hydrophobic surface, if necessary.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.01 weight percent, based on the total weight of the composition in which such components are employed.
  • the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device.
  • the liquid composition according to one embodiment comprises at least one SAM component, optionally at least one solvent, optionally at least one catalyst, and optionally at least one surfactant.
  • the liquid composition according to another embodiment comprises at least one SAM component, at least one catalyst, optionally at least one solvent, and optionally at least one surfactant.
  • the liquid composition according to yet another embodiment comprises at least one SAM component, at least one solvent, at least one catalyst, and optionally at least one surfactant.
  • the solvent may act concurrently as the catalyst.
  • the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the catalyst concurrently acts as the solvent.
  • the liquid composition according to this embodiment comprises at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition: component of % by weight catalyst(s) about 85.0% to about 99.99%
  • Surfactant(s) 0% to about 10.0%
  • the invention relates to a liquid SAM- containing composition useful in removing bulk and hardened photoresist from a microelectronic device.
  • the liquid composition according to this embodiment comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition: component of % by weight solvent(s) about 75.0% to about 99.98%
  • Surfactant(s) 0% to about 10.0%
  • the range of mole ratios of SAM(s) relative to catalyst(s) in the liquid SAM-containing composition is about 1:10 to about 5:1, more preferably about 1:5 to about 1:1; the range of mole ratios of SAM(s) relative to liquid solvent(s) is about 1:200 to about 1:50, more preferably about 1:125 to about 1:75; and the range of mole ratios of SAM(s) relative to surfactant(s) (when present) is about 1:10 to about 5:1.
  • the liquid SAM-containing composition may comprise, consist of, or consist essentially of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • Solvent species useful in the compositions of the invention may be non-polar or polar in nature.
  • Illustrative non-polar species include, but are not limited to, toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF) and carbon dioxide (subcritical or supercritical).
  • Illustrative polar species include methanol, ethanol, isopropanol, N- methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, methyl carbitol, butyl carbitol, hexyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, ⁇ -butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate and mixtures thereof.
  • DMSO dimethylsulfoxide
  • the solvent comprises a non-polar species.
  • Toluene is especially preferred.
  • the SAM component may include alkoxyhalosilanes including (RO) 3 SiX, (RO) 2 SiX 2 , (RO)SiX 3 , where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and RO may be the same as or different from one another and is selected from the group consisting of straight-chained or branched Q- C 20 alkoxy species such as methoxy, ethoxy, propoxy, etc., or combinations thereof.
  • the SAM component includes alkylhalosilanes of the nature (R) 3 SiX, (R) 2 SiX 2 , (R)SiX 3 , where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and R may be the same as or different from one another and is selected from the group consisting of straight-chained, branched or cyclic Ci-C 20 alkyl species such as methyl, ethyl, propyl, butyl, octyl, decyl, dodecyl, etc., or combinations thereof. Fluorinated alkyl and alkoxy derivatives may also be used.
  • the catalyst is included in the composition of the invention to initiate the silylation reaction and speed up the passivation of the underlying silicon-containing layer(s).
  • the catalysts include amines such as trimethylamine, triethylamine, butylamine, pyridine, and any other nucleophilic compound that aids in the removal of a halogen leaving group from the SAM component. It is thought that the amine catalyst promotes an in situ silylation reaction, whereby the SAM silane covalently attaches to oxygen atoms on the underlying silicon-containing layer(s), with the simultaneous generation of a protonated leaving group, e.g., HX.
  • the underlying silicon- containing layer is passivated by the covalently bound silane, while the generated protonated leaving group is available for removal of the hardened photoresist material.
  • the solvent may act concurrently as the catalyst.
  • the liquid SAM-containing compositions of the invention may further include a surfactant to assist in the removal of the resist from the surface of the microelectronic device.
  • Illustrative surfactants include, but are not limited to, fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations of the foregoing surfactants.
  • fluoroalkyl surfactants polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified
  • the liquid SAM-containing composition includes less than about 1 wt. % water, more preferably less than about 0.5 wt. % water, and most preferably less than about 0.25 wt. % water, based on the total weight of the composition.
  • the at least one SAM component does not undergo substantial polymerization at the microelectronic device surface.
  • preferably less than 5 wt. % of the SAM component polymerizes at the microelectronic device surface, more preferably less than 2 wt. %, even more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the SAM component polymerizes at the microelectronic device surface.
  • the specific proportions and amounts of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, in relation to each other may be suitably varied to provide the desired cleaning and passivating action of the liquid SAM-containing composition for the bulk and hardened photoresist to be removed from the microelectronic device.
  • Such specific proportions and amounts are readily determinable by simple experiment within the skill of the art without undue effort.
  • the SAM- containing component(s) and the catalyst(s) are present in an amount effective to remove bulk and hardened photoresist material from a microelectronic device having said material thereon.
  • the liquid SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon- containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation.
  • the liquid SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.
  • the liquid SAM-containing compositions of the invention may optionally be formulated with additional components to further enhance the passivation and removal capability of the composition, or to otherwise improve the character of the composition, i.e., provide metal passivation. Accordingly, the composition may be formulated with stabilizers, complexing agents, passivators, e.g., Cu passivating agents, and/or corrosion inhibitors.
  • the liquid SAM-containing compositions of the invention are easily formulated by the mixture of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) with gentle agitation.
  • the solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) may be readily formulated as single-package fo ⁇ nulations or multi-part fo ⁇ nulations that are mixed at the point of use.
  • the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the single-package formulation or the individual parts of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid SAM-containing compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • kits including, in one or more containers, one or more components adapted to form the compositions of the invention.
  • the kit includes, in one or more containers, at least one solvent, at least one SAM component, and optionally at least one surfactant for combining with the at least one catalyst at the fab.
  • the kit includes, in one or more containers, at least one SAM component, and optionally at least one surfactant for combining with the at least one solvent and the at least one catalyst at the fab.
  • the kit includes in one container at least one SAM component in solvent and in another container at least one catalyst in solvent for combining at the fab. .
  • the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the liquid SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist.
  • the residue material may be dissolved and/or suspended in the liquid SAM-containing composition of the invention.
  • the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.
  • the invention relates to dense SAM-containing compositions including dense fluids, e.g., supercritical fluids (SCF), as the primary solvent system.
  • dense fluids e.g., supercritical fluids (SCF)
  • SCCO 2 supercritical carbon dioxide
  • SCCO 2 is an attractive reagent for removal of microelectronic device process contaminants, since SCCO 2 has the characteristics of both a liquid and a gas. Like a gas, it diffuses rapidly, has low viscosity, near-zero surface tension, and penetrates easily into deep trenches and vias. Like a liquid, it has bulk flow capability as a "wash" medium.
  • the dense SAM-containing composition comprises SCCO 2 and the liquid SAM-containing composition, i.e., a SAM-containing concentrate, in the following ranges, based on the total weight of the composition:
  • SCCO 2 about 95.0% to about 99.99% liquid SAM-containing composition about 0.01% to about 10.0%
  • liquid SAM-containing composition comprises about 75.0% to about 90.0% co- solvent, about 0.01% to about 10.0% SAM component, about 0.01% to about 10.0% catalyst and optionally 0 to about 10.0% surfactant, wherein the co-solvent(s), SAM-component(s), catalyst(s) and optional surfactant(s) contemplated include the aforementioned species.
  • the range of mole ratios of liquid SAM-containing composition relative to SCCO 2 in the dense SAM-containing composition is about 1:200 to about 1:4, more preferably about 1:100 to about 1:6.
  • the dense SAM-containing composition may comprise, consist of, or consist essentially of SCCO 2 and the liquid SAM-containing composition, i.e., at least one additional solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • the specific proportions and amounts of SCCO 2 and liquid SAM-containing composition, in relation to each other, may be suitably varied to provide the desired removal action of the dense SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort.
  • the liquid SAM-containing composition may be at least partially dissolved and/or suspended within the dense fluid of the dense SAM-containing composition.
  • the invention relates to a dense SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the dense SAM-containing composition includes SCCO 2 , at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist.
  • the residue material may be dissolved and/or suspended in the dense SAM-containing composition of the invention.
  • the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.
  • the dense SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon- containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation.
  • the dense SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof.
  • the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device using the SAM-containing compositions described herein. For example, trench and via structures on the patterned devices may be cleaned while maintaining the structural integrity of the underlying silicon-containing layers using SAM passivation. It should be appreciated by one skilled in the art that the SAM-containing compositions may be used in a one-step or multi-step removal process.
  • the SAM-containing compositions of the present invention overcome the disadvantages of the prior art removal techniques by reversibly passivating the underlying silicon-containing layer(s), while simultaneously removing the bulk and hardened photoresist deposited thereon.
  • liquid SAM-containing compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel or the cleaning vessel under gentle agitation.
  • the dense SAM-containing compositions are readily formulated by static or dynamic mixing at the appropriate temperature and pressure.
  • the liquid SAM-containing composition is applied in any suitable manner to the microelectronic device having photoresist material thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the photoresist material, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, by contacting the device including the photoresist material with a circulating composition, or by any other suitable means, manner or technique, by which the liquid SAM- containing composition is brought into contact with the photoresist material on the microelectronic device.
  • the passivation and removal application may be static or dynamic, as readily determined by one skilled in the art.
  • the liquid SAM-containing composition typically is contacted with the device surface for a time of from about 1 to about 60 minutes, the preferred time being dependent on the dopant ion dose and the implant energy employed during ion implantation, wherein the higher the dopant ion dose and/or implant energy, the longer the contacting time required.
  • temperature is in a range of from about 2O 0 C to about 8O 0 C, preferably about 3O 0 C to about 8O 0 C, most preferably about 7O 0 C.
  • contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the photoresist material from the device surface, within the broad practice of the invention.
  • "at least partial removal” corresponds to at least 90% removal of bulk and hardened photoresist, preferably at least 95% removal. Most preferably, at least 99% of said bulk and hardened photoresist material is removed using the compositions of the present invention.
  • the microelectronic device may be thoroughly rinsed with copious amounts of ethanol and/or THF to remove any residual chemical additives.
  • the SAM-containing compositions of the invention selectively remove 100% of highly doped (with 2 x 10 15 As ions cm “2 ) photoresist (500-700 nm thick) having a hardened, cross-linked carbonized crust ranging from 30-70 nm in thickness. Importantly, the hardened crust is removed without substantially over-etching the underlying silicon-containing layer(s).
  • the microelectronic device surface having the photoresist thereon is contacted with the dense SAM-containing composition, at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the dense SAM-containing composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation, for at least partial removal of the photoresist from the microelectronic device surface.
  • the chamber may be a batch or single wafer chamber, for continuous, pulsed or static cleaning.
  • the passivation and removal of the hardened photoresist by the dense SAM-containing composition may be enhanced by use of elevated temperature and/or pressure conditions during contacting of the photoresist with the dense SAM-containing composition.
  • the appropriate dense SAM-containing composition may be employed to contact a microelectronic device surface having photoresist thereon at a pressure in a range of from about 1,500 to about 4,500 psi for sufficient time to effect the desired removal of the photoresist, e.g., for a contacting time in a range of from about 5 minutes to about 30 minutes and a temperature of from about 4O 0 C to about 75 0 C, although greater or lesser contacting durations and temperatures may be advantageously employed in the broad practice of the present invention.
  • the removal process using the dense SAM-containing composition may include a static soak, a dynamic cleaning mode, or sequential processing steps including dynamic flow of the dense SAM-containing composition over the microelectronic device surface, followed by a static soak of the device in the dense SAM-containing composition, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.
  • a “dynamic” contacting mode involves continuous flow of the composition over the device surface, to maximize the mass transfer gradient and effect complete removal of the resist from the surface.
  • a “static soak” contacting mode involves contacting the device surface with a static volume of the composition, and maintaining contact therewith for a continued (soaking) period of time. 2
  • the device thereafter preferably is washed with rinsing solution, for example, aliquots of SCF/co-solvent solution, e.g., SCCO 2 /methanol (80%/20%) solution, and pure SCF, to remove any residual precipitated chemical additives from the region of the device surface in which resist removal has been effected.
  • rinsing solution for example, aliquots of SCF/co-solvent solution, e.g., SCCO 2 /methanol (80%/20%) solution, and pure SCF
  • Another aspect of the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device, said method including passivation of the underlying silicon-containing layer(s) on the microelectronic device surface using non-halide containing SAM component, e.g., hexamethyldisilazane (HMDS), and removing the bulk and hardened photoresist from the microelectronic device using an etchant- containing removal composition.
  • non-halide containing SAM component e.g., hexamethyldisilazane (HMDS)
  • Suitable etchant-containing removal compositions include without limitation, hydrogen fluoride (HF), ammonium fluoride (NH 4 F), alkyl hydrogen fluoride (NRH 3 F), dialkylammonium hydrogen fluoride (NR 2 H 2 F), trialkylammonium hydrogen fluoride (NR 3 HF), rrialkylammonium trihydrogen fluoride (NR 3 (3HF)), tetraalkylammonium fluoride (NR 4 F), pyridine-HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, monoethanolamine/HF complex, triethanolamine/HF complex, triethylamine/formic acid complex ,and xenon difluoride (XeF 2 ), wherein each R in the aforementioned R-substituted species is independently selected from C 1 -C 8 alkyl and C 6 -Ci 0 aryl. Additional species are disclosed in co
  • the invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, with the provision that the SAM-containing composition is devoid of an etchant component selected from the group consisting of hydrogen fluoride, ammonium fluoride, ammonium bifluorides and other well-known fluoride etchant species.
  • an etchant component selected from the group consisting of hydrogen fluoride, ammonium fluoride, ammonium bifluorides and other well-known fluoride etchant species.
  • a further aspect of the invention includes the removal of the SAM passivating layer from the surface of the microelectronic device subsequent to the removal of the photoresist material therefrom, referred to herein as "depassivation.”
  • depassivation the removal of the SAM passivating layer from the surface of the microelectronic device subsequent to the removal of the photoresist material therefrom.
  • dilute inorganic acids including halide ions, such as HCl and HF, are preferred under optimized process conditions.
  • halide ions such as HCl and HF
  • the halide ions will readily attack a passivating Si-O-Si bond at the SAM- device surface interface and thus "depassivate" the device surface.
  • special care should be taken to minimize over-etching of the silicon-containing layer(s) on the device surface.
  • the depassivating solution may include about 0.01 wt% to about 2 wt. % dilute inorganic acid/amine complex in a solvent to depassivate the device surface with only slight fluorination and over-etching of the underlying silicon-containing layers.
  • Dilute inorganic acid/amine complexes contemplated herein include pyridine/HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, and triethylamine/formic acid complex, and combinations thereof with peroxides, concentrated HCl, ammonium hydroxide, and mixtures thereof.
  • Solvents contemplated herein for the depassivating solution include, but are not limited to, DMSO, methanol, and ethyl acetate.
  • Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • a still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, and incorporating said microelectronic device into said article, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
  • the SAM-containing composition may further include a dense fluid.
  • AFM Atomic Force Microscopy
  • surface energy measurements were performed before and after contact of a sample device surface with the SAM-containing compositions of the invention to determine the extent of removal of hardened photoresist as well as monolayer formation on the surface of said device.
  • the sample device surfaces included wafers consisting of (from top to bottom) an ion-implanted photoresist layer (2 x 10 15 As ions cm “2 ; 10 keV implant energy), a bulk photoresist layer, a silicon-containing gate oxide 2
  • Table 1 Processing as a function of time using a SAM-containing composition including 1 , mmol Cl 3 SiMe and 2 mmol Et 3 N in 10 mL of toluene, and a contacting temperature of 7O 0 C.
  • Table 2 Processing as a function of temperature using a SAM-containing composition including 1 mmol Cl 3 SiMe and 2 mmol Et 3 N in 10 mL of toluene, and a contacting time of 30 min.
  • Table 3 Processing as a function of SAM functionalities using a SAM-containing composition including 1 mmol of the listed SAM and 2 mmol Et 3 N in 10 mL of toluene, at a contacting temperature of 70 0 C for a contacting time of 30 min.
  • FIGs 4A-4C show the optical (Fig. 4A) and scanning electron microscopic (SEM) images of sample device surfaces including a layer of densely patterned, highly doped (2 x 10 15 As ions cm “2 ; 10 keV implant energy) photoresist consisting of a region of parallel lines.
  • the ⁇ 30 nm thick hardened crust can be clearly seen in the 90 degree angle view image (Fig. 4C).
  • the cleaning efficiency of the crust as a function of chloride substitution on the SAM component is illustrated in Figure 5A (ClSiMe 3 ), Figure 5B (Cl 2 SiMe 2 ), and Figure 5C (Cl 3 SiMe).
  • 5A-5C illustrate that as the number of chloride leaving groups on the SAM component increases, the amount of hardened photoresist removed also increases, hi fact, greater than 90% removal of the four different microelectronic device layers is achievable using the Cl 3 SiMe-containing composition (see Figure 6). It is thought that the increase in crust removal is the result of an increase in HCl generated when the SAM-containing composition is applied to the device surface.
  • a further aspect of the invention includes the removal of the passivating layer from the surface of the microelectronic device, or "depassivation.”
  • Figure 7B is an optical image of the device surface of Fig. 7A following application at 70 0 C for 30 min of a SAM-containing composition including Cl 3 SiMe.
  • Figure 7C is an optical image of the device surface of Fig. 7B following depassivation at 50 0 C for 2 min using NEt 3 :HF (1:3 mole ratio) in DMSO composition.
  • depassivation process should be optimized in order to eliminate fiuorination and/or over-etching of the underlying silicon-containing layer(s). For example, depassivation may be performed in 30 second intervals for SAM removal from thermal oxide- containing device structures and 20 second intervals for SAM removal from TEOS-based device structures.
  • Figures 8A-8E provide another illustration of the passivation and cleaning results, as well as depassivation following removal of the hardened photoresist.
  • Figure 8A is a SEM of a device surface including a densely patterned, highly doped (2 x 10 15 As ions cm “2 ; 10 keV implant energy) photoresist layer prior to processing.
  • Figure 8B is a SEM of the densely patterned surface of Fig. 8 A following application at 70 0 C for 30 min of a SAM-containing composition including Cl 3 SiMe, illustrating the successful and efficient removal (and passivation) of the hardened photoresist.
  • Figures 8C and 8D are SEMs of the device surface of Fig.

Abstract

A method and self assembled monolayer (SAM)-containing compositions for removing bulk and hardened photoresist material from microelectronic devices have been developed. The SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally a surfactant. The SAM-containing compositions effectively remove the hardened photoresist material while simultaneously passivating the underlying silicon-containing layer(s) in a one step process.

Description

REMOVAL OF HIGH-DOSE ION-IMPLANTED PHOTORESIST USING SELF- ASSEMBLED MONOLAYERS IN SOLVENT SYSTEMS
FEELD OF THE INVENTION
[0001] The present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, and methods of using said compositions for removal of same.
DESCRIPTION OF THERELATED ART
[0002] As semiconductor devices have become more integrated and miniaturized, ion implantation has been extensively employed during front-end-of-line (FEOL) processing to accurately control impurity distributions in the microelectronic device and to add dopant atoms, e.g., As, B and P, to the exposed device layers. The concentration and depth of the dopant impurity is controlled by varying the dose of the dopant, the acceleration energy, and the ion current. Prior to subsequent processing, the ion-implanted photoresist layer must be removed. Various processes have been used in the past for the removal of said hardened photoresist including, but not limited to, wet chemical etching processes, e.g., in a mixed solution of sulphuric acid and hydrogen peroxide, and dry plasma etching processes, e.g., in an oxygen plasma ashing process.
[0003] Unfortunately, when high doses of ions (e.g., doses greater than about 1 x 1015 atoms cm"2), at low (5 keV), medium (10 keV) and high (20 keV) implant energy, are implanted in the desired layer, they are also implanted throughout the photoresist layer, particularly the exposed surface of the photoresist, which becomes physically and chemically rigid. The rigid ion-implanted photoresist layer, also referred to as the carbonized region or "crust," has proven difficult to remove.
[0004] Presently, the removal of the ion-implanted photoresist and other contaminants is usually performed by a plasma etch method followed by a multi-step wet strip process, typically using aqueous-based etchant formulations to remove photoresist, post-etch residue and other contaminants. Wet strip treatments in the art generally involve the use of strong acids, bases, solvents, and oxidizing agents. Disadvantageously, however, wet strip treatments also etch the underlying silicon-containing layers, such as the substrate and gate oxide, and/or increase the gate oxide thickness.
[0005] As the feature sizes continue to decrease, satisfying the aforementioned removal requirements becomes significantly more challenging using the aqueous-based etchant formulations of the prior art. Water has a high surface tension which limits or prevents access to the smaller image nodes with high aspect ratios, and therefore, removing the residues in the crevices or grooves becomes more difficult. In addition, aqueous-based etchant formulations often leave previously dissolved solutes behind in the trenches or vias upon evaporative drying, which inhibit conduction and reduce device yield. Furthermore, underlying porous low-k dielectric materials do not have sufficient mechanical strength to withstand the capillary stress of high surface tension liquids such as water, resulting in pattern collapse of the structures. Aqueous etchant formulations can also strongly alter important material properties of the low-k materials, including dielectric constant, mechanical strength, moisture uptake, coefficient of thermal expansion, and adhesion to different substrates.
[0006] Therefore, it would be a significant advance in the art to provide an improved composition that overcomes the deficiencies of the prior art relating to the removal of bulk and hardened photoresist from microelectronic devices. The improved composition shall effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon- containing layer (s).
SUMMARY OF THE INVENTION
[0007] The present invention relates to self-assembled monolayer (SAM)-containing compositions useful for the removal of bulk and hardened photoresist from the surface of microelectronic devices, methods of making and methods of using said compositions for removal of same, and improved microelectronic devices made using the same. [0008] In one aspect, the invention relates to a self assembled monolayer (SAM)- containing composition, comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, wherein said SAM-containing composition is suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
[0009] In another aspect, the present invention relates to a kit comprising, in one or more containers, SAM-containing composition reagents, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and wherein the kit is adapted to form a SAM-containing composition suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
[0010] In a further aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time and under sufficient contacting conditions to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
[0011] In a still further aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM- containing composition for sufficient time to at least partially passivate a silicon-containing layer underlying the photoresist material, and contacting the microelectronic device with an etchant-containing removal composition to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition comprises a non- halide containing SAM component.
[0012] In another aspect, the present invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition is devoid of an etchant component.
[0013] In yet another aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising contacting the microelectronic device with an SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and optionally incorporating said cleaned microelectronic device into a product.
[0014] Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[0015] Figures 1A-1D are atomic force micrographs of the microelectronic device surfaces at contacting times = 1 min, 30 min, 1 hour and 15 hours, respectively, following contact of a SAM-containing composition including 1 mmol Cl3SiMe and 2 mmol Et3N in 10 mL of toluene, with the device surface at a contacting temperature of 7O0C.
[0016] Figure 2 illustrates the cleaning efficiency of a SAM-containing composition of the present invention as a function of temperature for four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion-implanted photoresist layer (Patterned Crust).
[0017] Figures 3A-3C are atomic force micrographs of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe3 (Figure 3A), Cl2SiMe2 (Figure 3B), and Cl3SiMe (Figure 3C), in 2 mmol Et3N in 10 mL of toluene, with the device surface at a contacting temperature of 700C for 30 min. [0018] Figures 4A-4C are optical microscope images (Figure 4A) and scanning electron microscopic (SEM) images (Figures 4B-4C) of densely patterned, ion implanted photoresist on a microelectronic device surface.
[0019] Figures 5A-5C are optical microscope images of the microelectronic device surfaces following contact of a SAM-containing composition including ClSiMe3 (Figure 5A), Cl2SiMe2 (Figure 5B), and Cl3SiMe (Figure 5C), at 7O0C for 30 min.
[0020] Figure 6 illustrates the removal efficiency of a SAM-containing composition of the present invention as a function of SAM functionality for the four different microelectronic device layers including a bulk blanketed photoresist layer (Bulk PR), a blanketed ion-implanted photoresist layer (Crust), a bulk patterned photoresist layer (Patterned PR) and a patterned ion- implanted photoresist layer (Patterned Crust).
[0021] Figures 7A-7C are optical microscope images of the control surface (Fig. 7A), the surface following cleaning and passivation using a SAM-containing composition of the invention (Fig. 7B), and the surface following depassivation according to the invention (Fig. 7C).
[0022] Figures 8A-8E are scanning electron micrographs of the control surface (Fig. 8A), the surface following cleaning and passivation using a SAM-containing composition of the invention (Fig. 8B), the surface following depassivation at a 90° angle view (Fig. 8C) and a 60° angle view (Fig. 8D), and a purposely over-etched surface following depassivation (Fig. 8E).
DETAILED DESCRIPTION QF THE INVENTION. AND PREFERRED EMBODIMENTS THEREOF
[0023] The present invention is based on the discovery of self-assembled monolayer (SAM)- containing compositions that are highly efficacious for the removal of bulk and hardened photoresist from the surface of microelectronic devices, while maintaining the integrity of the underlying silicon-containing layer(s).
[0024] "Bulk photoresist," as used herein, corresponds to the non-carbonized photoresist on the microelectronic device surface, specifically adjacent and below the hardened photoresist crust. [0025] "Hardened photoresist" as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during back-end-of-line (BEOL) dual-damascene processing of integrated circuits, ion implanted, e.g., during front-end-of-line (FEOL) processing to implant dopant species in the appropriate layers of the semiconductor wafer, and/or any other methodology whereby a carbonized or highly cross-linked crust forms on the exposed surface of the bulk photoresist.
[0026] As used herein, "underlying silicon-containing" layer corresponds to the layer(s) immediately below the bulk and/or the hardened photoresist including: silicon; silicon oxide, including gate oxides (e.g., thermally or chemically grown SiO2) and TEOS; silicon nitride; and low-k silicon-containing materials. As defined herein, "low-k silicon-containing material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
[0027] "Microelectronic device" corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly. [0028] As defined herein, "substantially over-etching" corresponds to greater than about 10% removal, more preferably greater than about 5% removal, and most preferably greater than about 2% removal, of the adjacent underlying silicon-containing layer(s) following contact, according to the process of the present invention, of the SAM-containing compositions of the invention with the microelectronic device having said underlying layer(s). In other words, most preferably no more than 2% of the underlying silicon-containing layer(s) are etched using the compositions of the present invention for the prescribed times. [0029] As used herein, "about" is intended to correspond to ± 5 % of the stated value. [0030] As used herein, "suitability" for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, corresponds to at least partial removal of said photoresist material from the microelectronic device. Preferably, at least 90 % of the photoresist material is removed from the microelectronic device using the compositions of the invention, more preferably, at least 95%, and most preferably at least 99% of the photoresist material, is removed.
[0031] "Dense fluid," as used herein, corresponds to a supercritical fluid or a subcritical fluid. The term "supercritical fluid" is used herein to denote a material which is under conditions of not lower than a critical temperature, Tc, and not less than a critical pressure, P0, in a pressure- temperature diagram of an intended compound. The preferred supercritical fluid employed in the present invention is CO2, which may be used alone or in an admixture with another additive such as Ar, NH3, N2, CH4, C2H4, CHF3, C2H6, n-C3H8, H2O, N2O and the like. The term "subcritical fluid" describes a solvent in the subcritical state, i.e., below the critical temperature and/or below the critical pressure associated with that particular solvent. Preferably, the subcritical fluid is a high pressure liquid of varying density.
[0032] Importantly, the SAM-containing compositions of the present invention must possess good metal-containing material compatibility, e.g., a low etch rate on the metal-containing material. Metal-containing materials of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium and ruthenium and suicides and nitrides thereof. [0033] Self assembled monolayers (SAMs) are known to passivate various surfaces, including, but not limited to, metals (e.g., copper, gold, etc), and oxides of titanium, hafnium, silicon, and aluminum. SAMs include silanes having at least one leaving group, e.g., a halide, said silane readily forming a covalent bond at an oxygen group on a silicon-containing surface (i.e., via a silylation reaction). The silanes themselves may further include covalently bonded inert molecules, such as polyethylene glycol (PEG), whereby following attachment with the silicon- containing surface, the PEG-silane can block other molecules from binding with said surface. PEG-silane SAMs are popular because they are thin (i.e., non-bulky) and hydrophilic, and linkage of the PEG molecule with the silicon-containing surface results in a non-sticky, water- like layer. In contrast, alkylchlorosilanes may be used to form a hydrophobic surface, if necessary.
[0034] Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
[0035] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.01 weight percent, based on the total weight of the composition in which such components are employed.
[0036] In one aspect, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device. The liquid composition according to one embodiment comprises at least one SAM component, optionally at least one solvent, optionally at least one catalyst, and optionally at least one surfactant. The liquid composition according to another embodiment comprises at least one SAM component, at least one catalyst, optionally at least one solvent, and optionally at least one surfactant. The liquid composition according to yet another embodiment comprises at least one SAM component, at least one solvent, at least one catalyst, and optionally at least one surfactant. Importantly, depending on the nature of the solvent chosen, the solvent may act concurrently as the catalyst.
[0037] In one embodiment, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the catalyst concurrently acts as the solvent. The liquid composition according to this embodiment comprises at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition: component of % by weight catalyst(s) about 85.0% to about 99.99%
SAM(s) about 0.01% to about 10.0%
Surfactant(s) 0% to about 10.0%
[0038] In a particularly preferred embodiment, the invention relates to a liquid SAM- containing composition useful in removing bulk and hardened photoresist from a microelectronic device. The liquid composition according to this embodiment comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant present in the following ranges, based on the total weight of the composition: component of % by weight solvent(s) about 75.0% to about 99.98%
SAM(s) about 0.01% to about 10.0% catalyst(s) about 0.01% to about 10.0%
Surfactant(s) 0% to about 10.0%
[0039] In one aspect, the range of mole ratios of SAM(s) relative to catalyst(s) in the liquid SAM-containing composition is about 1:10 to about 5:1, more preferably about 1:5 to about 1:1; the range of mole ratios of SAM(s) relative to liquid solvent(s) is about 1:200 to about 1:50, more preferably about 1:125 to about 1:75; and the the range of mole ratios of SAM(s) relative to surfactant(s) (when present) is about 1:10 to about 5:1. [0040] hi the broad practice of the invention, the liquid SAM-containing composition may comprise, consist of, or consist essentially of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. In general, the specific proportions and amounts of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s), in relation to each other, may be suitably varied to provide the desired removal action of the liquid SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort. [0041] Solvent species useful in the compositions of the invention may be non-polar or polar in nature. Illustrative non-polar species include, but are not limited to, toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF) and carbon dioxide (subcritical or supercritical). Illustrative polar species include methanol, ethanol, isopropanol, N- methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, methyl carbitol, butyl carbitol, hexyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate and mixtures thereof. Preferably, the solvent comprises a non-polar species. Toluene is especially preferred. [0042] The SAM component may include alkoxyhalosilanes including (RO)3SiX, (RO)2SiX2, (RO)SiX3, where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and RO may be the same as or different from one another and is selected from the group consisting of straight-chained or branched Q- C20 alkoxy species such as methoxy, ethoxy, propoxy, etc., or combinations thereof. Preferably, the SAM component includes alkylhalosilanes of the nature (R)3SiX, (R)2SiX2, (R)SiX3, where X may be the same as or different from one another and is selected from the group consisting of F, Cl, Br or I, and R may be the same as or different from one another and is selected from the group consisting of straight-chained, branched or cyclic Ci-C20 alkyl species such as methyl, ethyl, propyl, butyl, octyl, decyl, dodecyl, etc., or combinations thereof. Fluorinated alkyl and alkoxy derivatives may also be used. Preferably, the SAM component includes alkylhalosilanes where X= Cl and R= methyl, hi another alternative, the SAM component has a PEG molecule attached thereto.
[0043] Although not wishing to be bound by theory, the catalyst is included in the composition of the invention to initiate the silylation reaction and speed up the passivation of the underlying silicon-containing layer(s). Preferably, the catalysts include amines such as trimethylamine, triethylamine, butylamine, pyridine, and any other nucleophilic compound that aids in the removal of a halogen leaving group from the SAM component. It is thought that the amine catalyst promotes an in situ silylation reaction, whereby the SAM silane covalently attaches to oxygen atoms on the underlying silicon-containing layer(s), with the simultaneous generation of a protonated leaving group, e.g., HX. Accordingly, the underlying silicon- containing layer is passivated by the covalently bound silane, while the generated protonated leaving group is available for removal of the hardened photoresist material. Importantly, depending on the nature of the solvent chosen, the solvent may act concurrently as the catalyst. [0044] The liquid SAM-containing compositions of the invention may further include a surfactant to assist in the removal of the resist from the surface of the microelectronic device. Illustrative surfactants include, but are not limited to, fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid or salts thereof, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations of the foregoing surfactants.
[0045] In a preferred embodiment, the liquid SAM-containing composition includes less than about 1 wt. % water, more preferably less than about 0.5 wt. % water, and most preferably less than about 0.25 wt. % water, based on the total weight of the composition. Further, preferably the at least one SAM component does not undergo substantial polymerization at the microelectronic device surface. For example, preferably less than 5 wt. % of the SAM component polymerizes at the microelectronic device surface, more preferably less than 2 wt. %, even more preferably less than 1 wt. %, and most preferably less than 0.1 wt. % of the SAM component polymerizes at the microelectronic device surface.
[0046] In general, the specific proportions and amounts of at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, in relation to each other, may be suitably varied to provide the desired cleaning and passivating action of the liquid SAM-containing composition for the bulk and hardened photoresist to be removed from the microelectronic device. Such specific proportions and amounts are readily determinable by simple experiment within the skill of the art without undue effort. Most preferably, the SAM- containing component(s) and the catalyst(s) are present in an amount effective to remove bulk and hardened photoresist material from a microelectronic device having said material thereon. [0047] It is to be understood that the phrase "removing bulk and hardened photoresist material from a microelectronic device" is not meant to be limiting in any way and includes the 2
removal of bulk and hardened photoresist material from any substrate that will eventually become a microelectronic device.
[0048] It is also contemplated herein that the liquid SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon- containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation. In addition, the liquid SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof. [0049] The liquid SAM-containing compositions of the invention may optionally be formulated with additional components to further enhance the passivation and removal capability of the composition, or to otherwise improve the character of the composition, i.e., provide metal passivation. Accordingly, the composition may be formulated with stabilizers, complexing agents, passivators, e.g., Cu passivating agents, and/or corrosion inhibitors. [0050] The liquid SAM-containing compositions of the invention are easily formulated by the mixture of solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) with gentle agitation. The solvent(s), catalyst(s), SAM component(s), and optional surfactant(s) may be readily formulated as single-package foπnulations or multi-part foπnulations that are mixed at the point of use. The individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the single-package formulation or the individual parts of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the liquid SAM-containing compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
[0051] Accordingly, another aspect of the invention relates to a kit including, in one or more containers, one or more components adapted to form the compositions of the invention. Preferably, the kit includes, in one or more containers, at least one solvent, at least one SAM component, and optionally at least one surfactant for combining with the at least one catalyst at the fab. According to another embodiment, the kit includes, in one or more containers, at least one SAM component, and optionally at least one surfactant for combining with the at least one solvent and the at least one catalyst at the fab. In yet another embodiment, the kit includes in one container at least one SAM component in solvent and in another container at least one catalyst in solvent for combining at the fab. . For example, the containers of the kit may be NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). [0052] In yet another embodiment, the invention relates to a liquid SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the liquid SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist. Importantly, the residue material may be dissolved and/or suspended in the liquid SAM-containing composition of the invention. In still another embodiment, the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.
[0053] In yet another aspect, the invention relates to dense SAM-containing compositions including dense fluids, e.g., supercritical fluids (SCF), as the primary solvent system. Because of its readily manufactured character and its lack of toxicity and negligible environmental effects, supercritical carbon dioxide (SCCO2) is the preferred SCF. SCCO2 is an attractive reagent for removal of microelectronic device process contaminants, since SCCO2 has the characteristics of both a liquid and a gas. Like a gas, it diffuses rapidly, has low viscosity, near-zero surface tension, and penetrates easily into deep trenches and vias. Like a liquid, it has bulk flow capability as a "wash" medium. SCCO2 has a density comparable to organic solvents and also has the advantage of being recyclable, thus minimizing waste storage and disposal requirements. [0054] The dense SAM-containing composition according to one embodiment comprises SCCO2 and the liquid SAM-containing composition, i.e., a SAM-containing concentrate, in the following ranges, based on the total weight of the composition:
component of % by weight
SCCO2 about 95.0% to about 99.99% liquid SAM-containing composition about 0.01% to about 10.0%
where the liquid SAM-containing composition comprises about 75.0% to about 90.0% co- solvent, about 0.01% to about 10.0% SAM component, about 0.01% to about 10.0% catalyst and optionally 0 to about 10.0% surfactant, wherein the co-solvent(s), SAM-component(s), catalyst(s) and optional surfactant(s) contemplated include the aforementioned species. [0055] In one aspect, the range of mole ratios of liquid SAM-containing composition relative to SCCO2 in the dense SAM-containing composition is about 1:200 to about 1:4, more preferably about 1:100 to about 1:6.
[0056] In the broad practice of the invention, the dense SAM-containing composition may comprise, consist of, or consist essentially of SCCO2 and the liquid SAM-containing composition, i.e., at least one additional solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. In general, the specific proportions and amounts of SCCO2 and liquid SAM-containing composition, in relation to each other, may be suitably varied to provide the desired removal action of the dense SAM-containing composition for the bulk and hardened photoresist and/or processing equipment, as readily determinable within the skill of the art without undue effort. Importantly, the liquid SAM-containing composition may be at least partially dissolved and/or suspended within the dense fluid of the dense SAM-containing composition.
[0057] In yet another embodiment, the invention relates to a dense SAM-containing composition useful in removing bulk and hardened photoresist from a microelectronic device, wherein the dense SAM-containing composition includes SCCO2, at least one solvent, at least one catalyst, at least one SAM component, optionally at least one surfactant, and photoresist residue material, wherein the photoresist is bulk and/or hardened photoresist. Importantly, the residue material may be dissolved and/or suspended in the dense SAM-containing composition of the invention. In still another embodiment, the photoresist residue material includes an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions, and antimony ions.
[0058] It is also contemplated herein that the dense SAM-containing composition of the present invention may be used to remove hardened photoresist, e.g., BEOL hardened photoresist, bottom anti-reflective coating (BARC) material, post-CMP residue, BARC residue and/or post-ash/post-etch photoresist, while simultaneously passivating the underlying silicon- containing layer(s) or any other hydrophilic surface having hydroxyl-terminated groups in need of passivation. In addition, the dense SAM-containing compositions of the present invention may be used to remove contaminating materials from photomask materials for re-use thereof. [0059] In yet another aspect, the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device using the SAM-containing compositions described herein. For example, trench and via structures on the patterned devices may be cleaned while maintaining the structural integrity of the underlying silicon-containing layers using SAM passivation. It should be appreciated by one skilled in the art that the SAM-containing compositions may be used in a one-step or multi-step removal process.
[0060] The SAM-containing compositions of the present invention overcome the disadvantages of the prior art removal techniques by reversibly passivating the underlying silicon-containing layer(s), while simultaneously removing the bulk and hardened photoresist deposited thereon.
[0061] The liquid SAM-containing compositions of the present invention are readily formulated by simple mixing of ingredients, e.g., in a mixing vessel or the cleaning vessel under gentle agitation. The dense SAM-containing compositions are readily formulated by static or dynamic mixing at the appropriate temperature and pressure.
[0062] In passivation and removal application, the liquid SAM-containing composition is applied in any suitable manner to the microelectronic device having photoresist material thereon, e.g., by spraying the composition on the surface of the device, by dipping (in a volume of the composition) of the device including the photoresist material, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, by contacting the device including the photoresist material with a circulating composition, or by any other suitable means, manner or technique, by which the liquid SAM- containing composition is brought into contact with the photoresist material on the microelectronic device. The passivation and removal application may be static or dynamic, as readily determined by one skilled in the art.
[0063] In use of the compositions of the invention for removing photoresist material from microelectronic device surfaces having same thereon, the liquid SAM-containing composition typically is contacted with the device surface for a time of from about 1 to about 60 minutes, the preferred time being dependent on the dopant ion dose and the implant energy employed during ion implantation, wherein the higher the dopant ion dose and/or implant energy, the longer the contacting time required. Preferably, temperature is in a range of from about 2O0C to about 8O0C, preferably about 3O0C to about 8O0C, most preferably about 7O0C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the photoresist material from the device surface, within the broad practice of the invention. As defined herein, "at least partial removal" corresponds to at least 90% removal of bulk and hardened photoresist, preferably at least 95% removal. Most preferably, at least 99% of said bulk and hardened photoresist material is removed using the compositions of the present invention. [0064] Following the achievement of the desired passivation and cleaning action, the microelectronic device may be thoroughly rinsed with copious amounts of ethanol and/or THF to remove any residual chemical additives.
[0065] The SAM-containing compositions of the invention selectively remove 100% of highly doped (with 2 x 1015 As ions cm"2) photoresist (500-700 nm thick) having a hardened, cross-linked carbonized crust ranging from 30-70 nm in thickness. Importantly, the hardened crust is removed without substantially over-etching the underlying silicon-containing layer(s). [0066] For passivation and cleaning applications using the dense SAM-containing compositions, the microelectronic device surface having the photoresist thereon is contacted with the dense SAM-containing composition, at suitable elevated pressures, e.g., in a pressurized contacting chamber to which the dense SAM-containing composition is supplied at suitable volumetric rate and amount to effect the desired contacting operation, for at least partial removal of the photoresist from the microelectronic device surface. The chamber may be a batch or single wafer chamber, for continuous, pulsed or static cleaning. The passivation and removal of the hardened photoresist by the dense SAM-containing composition may be enhanced by use of elevated temperature and/or pressure conditions during contacting of the photoresist with the dense SAM-containing composition.
[0067] The appropriate dense SAM-containing composition may be employed to contact a microelectronic device surface having photoresist thereon at a pressure in a range of from about 1,500 to about 4,500 psi for sufficient time to effect the desired removal of the photoresist, e.g., for a contacting time in a range of from about 5 minutes to about 30 minutes and a temperature of from about 4O0C to about 750C, although greater or lesser contacting durations and temperatures may be advantageously employed in the broad practice of the present invention. [0068] The removal process using the dense SAM-containing composition may include a static soak, a dynamic cleaning mode, or sequential processing steps including dynamic flow of the dense SAM-containing composition over the microelectronic device surface, followed by a static soak of the device in the dense SAM-containing composition, with the respective dynamic flow and static soak steps being carried out alternatingly and repetitively, in a cycle of such alternating steps.
[0069] A "dynamic" contacting mode involves continuous flow of the composition over the device surface, to maximize the mass transfer gradient and effect complete removal of the resist from the surface. A "static soak" contacting mode involves contacting the device surface with a static volume of the composition, and maintaining contact therewith for a continued (soaking) period of time. 2
[0070] Following the contacting of the dense SAM-containing composition to the microelectronic device surface, the device thereafter preferably is washed with rinsing solution, for example, aliquots of SCF/co-solvent solution, e.g., SCCO2/methanol (80%/20%) solution, and pure SCF, to remove any residual precipitated chemical additives from the region of the device surface in which resist removal has been effected.
[0071] It will be appreciated that specific contacting conditions for the liquid SAM- containing and the dense SAM-containing compositions of the invention are readily determinable within the skill of the art, based on the disclosure herein, and that the specific proportions of ingredients and concentrations of ingredients in the compositions of the invention may be widely varied while achieving desired passivation of the underlying silicon- containing layer(s) and removal of the hardened photoresist material on the microelectronic device surface.
[0072] Another aspect of the invention relates to methods of removal of bulk and hardened photoresist from a microelectronic device, said method including passivation of the underlying silicon-containing layer(s) on the microelectronic device surface using non-halide containing SAM component, e.g., hexamethyldisilazane (HMDS), and removing the bulk and hardened photoresist from the microelectronic device using an etchant- containing removal composition. Suitable etchant-containing removal compositions include without limitation, hydrogen fluoride (HF), ammonium fluoride (NH4F), alkyl hydrogen fluoride (NRH3F), dialkylammonium hydrogen fluoride (NR2H2F), trialkylammonium hydrogen fluoride (NR3HF), rrialkylammonium trihydrogen fluoride (NR3(3HF)), tetraalkylammonium fluoride (NR4F), pyridine-HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, monoethanolamine/HF complex, triethanolamine/HF complex, triethylamine/formic acid complex ,and xenon difluoride (XeF2), wherein each R in the aforementioned R-substituted species is independently selected from C1-C8 alkyl and C6-Ci0 aryl. Additional species are disclosed in co-pending U.S. Provisional Patent Application No. 60/672,157, filed April 15, W
2005 in the name of Pamela M. Visintin et al. for "Dense Fluid Formulations for Cleaning Ion- Implanted Photoresist Layers from Microelectronic Devices," which is incorporated herein by reference in its entirety.
[0073] In yet another aspect, the invention relates to a method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, with the provision that the SAM-containing composition is devoid of an etchant component selected from the group consisting of hydrogen fluoride, ammonium fluoride, ammonium bifluorides and other well-known fluoride etchant species. [0074] Regardless of the method used to remove the hardened photoresist from the microelectronic device, a further aspect of the invention includes the removal of the SAM passivating layer from the surface of the microelectronic device subsequent to the removal of the photoresist material therefrom, referred to herein as "depassivation." [0075] When carbon contamination due to the passivating alkyl groups on the wafer surface is unacceptable (approximately 3 to 10 A monolayer of methyl groups when Cl3SiMe is the SAM used), the SAM may be removed using strong acids such as H2SO4, however, this may cause unwanted oxidation of the underlying silicon-containing layer(s). Thus, dilute inorganic acids including halide ions, such as HCl and HF, are preferred under optimized process conditions. The halide ions will readily attack a passivating Si-O-Si bond at the SAM- device surface interface and thus "depassivate" the device surface. However, special care should be taken to minimize over-etching of the silicon-containing layer(s) on the device surface.
[0076] The inventors have previously shown that anhydrous solutions of HF/Pyridine (1:1 mole ratio) in DMSO are known to etch thermal oxide, TEOS, silicon nitride, and polysilicon at rates less than < 0.1 A min'1. Thus, the depassivating solution may include about 0.01 wt% to about 2 wt. % dilute inorganic acid/amine complex in a solvent to depassivate the device surface with only slight fluorination and over-etching of the underlying silicon-containing layers. Dilute inorganic acid/amine complexes contemplated herein include pyridine/HF complex, pyridine/HCl complex, pyridine/HBr complex, triethylamine/HF complex, triethylamine/HCl complex, and triethylamine/formic acid complex, and combinations thereof with peroxides, concentrated HCl, ammonium hydroxide, and mixtures thereof. Solvents contemplated herein for the depassivating solution include, but are not limited to, DMSO, methanol, and ethyl acetate.
[0077] Yet another aspect of the invention relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
[0078] A still further aspect of the invention relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove bulk and hardened photoresist material from the microelectronic device having said photoresist material thereon, and incorporating said microelectronic device into said article, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant. Alternatively, the SAM-containing composition may further include a dense fluid.
[0079] The features and advantages of the invention are more fully shown by the illustrative example discussed below.
Example 1
[0080] Atomic Force Microscopy (AFM) and surface energy measurements were performed before and after contact of a sample device surface with the SAM-containing compositions of the invention to determine the extent of removal of hardened photoresist as well as monolayer formation on the surface of said device. The sample device surfaces included wafers consisting of (from top to bottom) an ion-implanted photoresist layer (2 x 1015 As ions cm"2; 10 keV implant energy), a bulk photoresist layer, a silicon-containing gate oxide 2
layer, and a silicon substrate. The samples were processed for varying times and at varying temperatures using varying SAM functionalities, and the contact angles measured. The results are tabulated in Tables 1-3 hereinbelow.
Table 1: Processing as a function of time using a SAM-containing composition including 1 , mmol Cl3SiMe and 2 mmol Et3N in 10 mL of toluene, and a contacting temperature of 7O0C.
Table 2: Processing as a function of temperature using a SAM-containing composition including 1 mmol Cl3SiMe and 2 mmol Et3N in 10 mL of toluene, and a contacting time of 30 min.
Table 3: Processing as a function of SAM functionalities using a SAM-containing composition including 1 mmol of the listed SAM and 2 mmol Et3N in 10 mL of toluene, at a contacting temperature of 70 0C for a contacting time of 30 min.
[0081] Passivation of the underlying silicon-containing layer is evidenced by an increase in the contact angle following application of the SAM-containing composition with the device surface. It can be seen in Table 1 that a process time of less than 10 minutes is needed to transform the hydroxyl-terminated hydrophilic device surface, having a contact angle of 35 degrees, to a methyl-terminated hydrophobic surface, having a contact angle of 77 degrees. [0082] The corresponding AFM images illustrated in Figures 1A-1D, at contacting times equal to 10 min, 30 min, 1 hour and 15 hours, respectively, clearly show that as time increased (while maintaining all other process parameters constant), small islands form on the silicon- containing surface due to polymerization (or cross-linking) of the multi-substituted chlorosilane. As process time is increased, the islands gradually coalesce, or agglomerate, and at 15 hours show evidence of bulk polymerization on the surface.
[0083] The preliminary temperature studies were performed to determine the most effective temperature for surface passivation and cleaning efficiency. With regards to cleaning efficiency, four different microelectronic device layers were considered: bulk blanketed photoresist; the 30-45 nni ion-implanted crust on the bulk blanketed photoresist; bulk patterned photoresist; and the ion-implanted crust on the bulk patterned photoresist. Comparing the results reported in Table 2 (the contact angles) with the percent removal efficiency illustrated in Figure 2, it can be seen that temperatures greater than 6O0C provide the greatest amount of passivation as well as almost 100% removal of photoresist. Accordingly, all subsequent experiments as a function of time and SAM functionality were perfoπned at 7O0C. [0084] The evidence of cross-linking is better shown in Figures 3A-3C, which illustrate the variation of cross-linking as a function of SAM functionality, specifically the number of chloride leaving groups, at temperature of 7O0C and time of 30 min. It can be seen that with ClSiMe3 (Figure 3A), the ability of the SAM to cross-link does not exist, and a smooth monolayer (rms = 0.415 nm; control rms = 0.131 nm) is formed on the surface. However, with Cl2SiMe2 (Figure 3B) and Cl3SiMe (Figure 3C), cross-linking occurs as evidenced by the island formation described hereinabove, which as a result, leads to rougher film surfaces (rms = 0.465 and 1.573 nm for the di- and tri-chlorosilanes, respectively). The formation of islands is indicative of the necessity for more aggressive depassivation techniques (e.g., more concentrated compositions, greater contact time, etc.).
Example 2 [0085] Figures 4A-4C show the optical (Fig. 4A) and scanning electron microscopic (SEM) images of sample device surfaces including a layer of densely patterned, highly doped (2 x 1015 As ions cm"2; 10 keV implant energy) photoresist consisting of a region of parallel lines. The ~30 nm thick hardened crust can be clearly seen in the 90 degree angle view image (Fig. 4C). The cleaning efficiency of the crust as a function of chloride substitution on the SAM component is illustrated in Figure 5A (ClSiMe3), Figure 5B (Cl2SiMe2), and Figure 5C (Cl3SiMe). The optical microscope images in Figs. 5A-5C illustrate that as the number of chloride leaving groups on the SAM component increases, the amount of hardened photoresist removed also increases, hi fact, greater than 90% removal of the four different microelectronic device layers is achievable using the Cl3SiMe-containing composition (see Figure 6). It is thought that the increase in crust removal is the result of an increase in HCl generated when the SAM-containing composition is applied to the device surface.
[0086] An additional experiment was performed whereby a non-halide containing SAM- containing composition was contacted with the sample device surface including densely patterned, highly doped photoresist and underlying silicon-containing layer(s). No hardened photoresist was removed, even though the sample was passivated as evidenced by the contact angle of 63°. Therefore, our results show that some amount of leaving group, e.g., chloride, is necessary for hardened photoresist removal.
Example 3
[0087] A further aspect of the invention includes the removal of the passivating layer from the surface of the microelectronic device, or "depassivation." Figure 7A is an optical microscope image of a densely patterned device surface having a contact angle of 36° and an rms = 0.15 nm. Figure 7B is an optical image of the device surface of Fig. 7A following application at 70 0C for 30 min of a SAM-containing composition including Cl3SiMe. The contact angle of the passivated surface was determined to be 79° (with a rms = 1.10 nm), evidencing passivation of the silicon-containing surface. It can be seen that at least 90% of the hardened photoresist was removed. Figure 7C is an optical image of the device surface of Fig. 7B following depassivation at 50 0C for 2 min using NEt3:HF (1:3 mole ratio) in DMSO composition. The contact angle of the depassivated surface was determined to be 35° (with a rms = 0.25 ran). Once the contact angle of the surface matches that of the surface prior to contact with the SAM-containing composition, the depassivation process is essentially complete.
[0088] It is noted that the depassivation process should be optimized in order to eliminate fiuorination and/or over-etching of the underlying silicon-containing layer(s). For example, depassivation may be performed in 30 second intervals for SAM removal from thermal oxide- containing device structures and 20 second intervals for SAM removal from TEOS-based device structures.
[0089] Figures 8A-8E provide another illustration of the passivation and cleaning results, as well as depassivation following removal of the hardened photoresist. Figure 8A is a SEM of a device surface including a densely patterned, highly doped (2 x 1015 As ions cm"2; 10 keV implant energy) photoresist layer prior to processing. Figure 8B is a SEM of the densely patterned surface of Fig. 8 A following application at 70 0C for 30 min of a SAM-containing composition including Cl3SiMe, illustrating the successful and efficient removal (and passivation) of the hardened photoresist. Figures 8C and 8D are SEMs of the device surface of Fig. 8B following depassivation at 50 0C for 2 min using NEt3:HF (1:3 mole ratio) in DMSO composition. The SEM image in Figs. 8C and 8D do not show any evidence of substantial over-etching of the underlying silicon-containing layers during the depassivation process (compare with the over-etched sample in Fig. 8E).
[0090] The improved SAM-containing compositions taught herein effectively remove bulk and hardened photoresist in a one-step or multi-step process, without the need for a plasma etch step and without substantially over-etching the underlying silicon-containing layer(s). [0091] Accordingly, while the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other aspects, features and embodiments. Accordingly, the claims hereafter set forth are intended to be correspondingly broadly construed, as including all such aspects, features and embodiments, within their spirit and scope.

Claims

THE CLAIMSWhat is claimed is:
1. A self assembled monolayer (SAM)-containing composition, comprising at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, wherein said SAM-containing composition is suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
2. The SAM-containing composition of claim 1, wherein the mole ratio of SAM(s) relative to catalyst(s) in the liquid SAM-containing composition is in a range from about 1:10 to about 5:1.
3. The SAM-containing composition of claim 1, wherein the mole ratio of SAM(s) relative to solvent(s) is in a range from about 1:200 to about 1:50.
4. The SAM-containing composition of claim 1, wherein the solvent comprises at least one non-polar solvent selected from the group consisting of toluene, decane, dodecane, octane, pentane, hexane, tetrahydrofuran (THF), carbon dioxide, and mixtures thereof.
5. The SAM-containing composition of claim 4, further comprising an additional solvent selected from the group consisting of methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyiτolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and mixtures thereof.
6. The SAM-containing composition of claim 1, wherein the solvent comprises toluene.
7. The SAM-containing composition of claim 1, wherein the solvent comprises dense carbon dioxide.
8. The SAM-containing composition of claim 1, wherein the SAM component comprises a silane selected from the group consisting of: (RO)3SiX, (RO)2SiX2, (RO)SiX3, (R)3SiX, (R)2SiX2, and (R)SiX3, where X= F, Cl, Br and I, and R = methyl, ethyl, propyl, butyl, octyl, decyl, and dodecyl; fluoriiiated derivatives thereof; and combinations thereof.
9. The SAM-containing composition of claim 1, wherein the SAM component comprises an alkylchlorosilane selected from the group consisting OfCl3SiMe, Cl2SiMe2, and ClSiMe3.
10. The SAM-containing composition of claim 1, wherein the catalyst comprises an amine selected from the group consisting of trimethylamine, triethylamine, butylamine, pyridine, and combinations thereof.
11. The SAM-containing composition of claim 1, comprising at least one surfactant.
12. The SAM-containing composition of claim 11, wherein the surfactant comprises a surfactant species selected from the group consisting of fluoroalkyl surfactants, polyethylene glycols, polypropylene glycols, polyethylene glycol ethers, polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, dodecylbenzenesulfonic acid salts, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone polymers, modified silicone polymers, acetylenic diols, modified acetylenic diols, alkylammonium salts, modified alkylammonium salts, and combinations thereof.
13. The SAM-containing composition of claim 1, wherein the composition comprises toluene, Cl3SiMe and triethylamine.
14. The SAM-containing composition of claim 1, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
15. The SAM-containing composition of claim 1, wherein the bulk and hardened photoresist materials comprise dopant ions selected from the group consisting of arsenic ions, boron ions, phosphorous ions, indium ions and antimony ions.
16. The SAM-containing composition of claim 1, wherein the at least one SAM component and the at least one catalyst are present in amounts effective to simultaneously passivate a silicon-containing layer on said microelectronic device and remove bulk and hardened photoresist material from the microelectronic device having said material thereon.
17. The SAM-containing composition of claim 16, wherein the silicon-containing layer comprises a silicon-containing compound selected from the group consisting of silicon; silicon dioxide; TEOS; silicon nitride; silicon-containing organic polymers; silicon-containing hybrid organic/inorganic materials; organosilicate glass (OSG); fluorinated silicate glass (FSG); carbon-doped oxide (CDO) glass; and combinations thereof.
18. The SAM-containing composition of claim 7, wherein the carbon dioxide is supercritical.
19. The SAM-containing composition of claim 1, further comprising photoresist residue material.
20. The SAM-containing composition of claim 19, wherein the photoresist comprises bulk photoresist, hardened photoresist, or combinations thereof.
21. The SAM-containing composition of claim 20, wherein the photoresist comprises an ion selected from the group consisting of boron ions, arsenic ions, phosphorus ions, indium ions and antimony ions.
22. A kit comprising, in one or more containers, SAM-containing composition reagents, wherein the SAM-containing composition comprises at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant, and wherein the kit is adapted to form a SAM-containing composition suitable for removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon.
23. A method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time and under sufficient contacting conditions to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition includes at least one solvent, at least one catalyst, at least one SAM component, and optionally at least one surfactant.
24. The method of claim 23, wherein said contacting is carried out for a time of from about 1 minute to about 60 minutes.
25. The method of claim 23, wherein said contacting is carried out at temperature in a range of from about 300C to about 800C.
26. The method of claim 23, wherein the solvent comprises at least one solvent selected from the group consisting of toluene, decane, octane, dodecane, pentane, hexane, tetrahydrofuran (THF), carbon dioxide, methanol, ethanol, isopropanol, N-methylpyrrolidinone, N-octylpyrrolidinone, N-phenylpyrrolidinone, dimethylsulfoxide (DMSO), sulfolane, ethyl lactate, ethyl acetate, toluene, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, alkyl ammonium fluoride, γ-butyrolactone, butylene carbonate, ethylene carbonate, propylene carbonate, and mixtures thereof;
wherein the catalyst comprises an amine selected from the group consisting of trimethylamine, triethylamine, butylamine, pyridine, and combinations thereof; and
wherein the SAM component comprises a silane selected from the group consisting of: (RO)3SiX, (RO)2SiX2, (RO)SiX3, (R)3SiX, (R)2SiX2, and (R)SiX3, where X= F, Cl, Br and I, and R = methyl, ethyl, propyl, butyl, octyl, decyl, and dodecyl; fluorinated derivatives thereof; and combinations thereof.
27. The method of claim 23, wherein the mole ratio of SAM(s) relative to catalyst(s) in the liquid SAM-containing composition is in a range from about 1:10 to about 5:1 and the mole ratio of SAM(s) relative to solvent(s) is in a range from about 1:200 to about 1:50.
28. The method of claim 23, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS).
29. . The method of claim 23, wherein the bulk and hardened photoresist materials comprise dopant ions selected from the group consisting of arsenic ions, boron ions, phosphorous ions, indium ions, and antimony ions.
30. The method of claim 23, wherein the contacting comprises a process selected from the group consisting of: spraying the SAM-containing composition on a surface of the microelectronic device; dipping the microelectronic device in a sufficient volume of SAM- containing composition; contacting a surface of the microelectronic device with another material that is saturated with the SAM-containing composition; contacting the microelectronic device with a circulating SAM-containing composition; contacting the microelectronic device with a continuous flow of the SAM-containing composition; and contacting the microelectronic device surface with a static volume of the SAM-containing composition for a continued period of time.
31. The method of claim 23, further comprising rinsing the microelectronic device following contact with the SAM-containing composition.
32. The method of claim 23, wherein the at least one SAM component and the at least one catalyst are present in amounts effective to simultaneously passivate a silicon-containing layer on said microelectronic device and remove bulk and hardened photoresist material from the microelectronic device having said material thereon.
33. The method of claim 32, wherein the silicon-containing layer comprises a silicon- containing compound selected from the group consisting of silicon; silicon dioxide; TEOS; silicon nitride; silicon-containing organic polymers; silicon-containing hybrid organic/inorganic materials; organosilicate glass (OSG); fluorinated silicate glass (FSG); carbon-doped oxide (CDO) glass; and combinations thereof.
34. The method of claim 32, wherein the underlying silicon-containing layer has a contact angle in a range from about 60 degrees to about 120 degrees following formation of the SAM- passivating layer.
35. The method of claim 23, further comprising removing the SAM-passivating layer from the microelectronic device with a depassivating composition following at least partial removal of said photoresist material from the microelectronic device.
36. The method of claim 35, wherein the depassivating composition comprises compounds selected from the group consisting of pyridine/HF complexes, pyridine/HCl complexes, pyridine/HBr complexes, triethylamine/HF complexes, triethylamine/HCl complexes, triemylarnine/formic acid complexes, peroxide derivatives thereof, concentrated HCl, ammonium hydroxide, and combinations thereof.
37. The method of claim 23, wherein the solvent comprises dense carbon dioxide.
38. The method of claim 37, wherein said contacting conditions comprise elevated pressure.
39. The method of claim 38, wherein said elevated pressure comprises pressure in a range of from about 1500 to about 4500 psi.
40. The method of claim 37, wherein said contacting time is in a range of from about 5 to about 30 minutes.
41. The method of claim 37, wherein said contacting conditions comprise temperature in a range from about 4O0C to about 75°C.
42. A method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially passivate a silicon-containing layer underlying the photoresist material, and contacting the microelectronic device with an etchant-containing removal composition to at least partially remove said photoresist material from the microelectronic device, wherein the SAM-containing composition comprises a non-halide containing SAM component.
43. A method of removing bulk and hardened photoresist material from a microelectronic device having said photoresist material thereon, said method comprising contacting the microelectronic device with a SAM-containing composition for sufficient time to at least partially remove said photoresist material from the microelectronic device, wherein the SAM- containing composition is devoid of an etchant component.
EP06749725A 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems Withdrawn EP1877530A4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67185105P 2005-04-15 2005-04-15
PCT/US2006/013430 WO2006113222A2 (en) 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Publications (2)

Publication Number Publication Date
EP1877530A2 true EP1877530A2 (en) 2008-01-16
EP1877530A4 EP1877530A4 (en) 2010-06-09

Family

ID=37115663

Family Applications (1)

Application Number Title Priority Date Filing Date
EP06749725A Withdrawn EP1877530A4 (en) 2005-04-15 2006-04-10 Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems

Country Status (7)

Country Link
EP (1) EP1877530A4 (en)
JP (1) JP2008538013A (en)
KR (1) KR20070121845A (en)
CN (1) CN101198683B (en)
SG (1) SG161280A1 (en)
TW (1) TW200700916A (en)
WO (1) WO2006113222A2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080072905A (en) 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
TWI494710B (en) * 2008-05-01 2015-08-01 Entegris Inc Low ph mixtures for the removal of high density implanted resist
GB0819274D0 (en) * 2008-10-21 2008-11-26 Plastic Logic Ltd Method and apparatus for the formation of an electronic device
KR101579846B1 (en) * 2008-12-24 2015-12-24 주식회사 이엔에프테크놀로지 Composition for removing a photoresist pattern and method of forming a metal pattern using the composition
SG177755A1 (en) * 2009-07-30 2012-03-29 Basf Se Post ion implant stripper for advanced semiconductor application
KR20130088847A (en) 2010-07-16 2013-08-08 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Aqueous cleaner for the removal of post-etch residues
EP2798669B1 (en) 2011-12-28 2021-03-31 Entegris, Inc. Compositions and methods for selectively etching titanium nitride

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
WO2003077032A1 (en) * 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
WO2006138505A1 (en) * 2005-06-16 2006-12-28 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107166A (en) * 1997-08-29 2000-08-22 Fsi International, Inc. Vapor phase cleaning of alkali and alkaline earth metals
JP3410369B2 (en) * 1998-04-28 2003-05-26 花王株式会社 Release agent composition
JP3474127B2 (en) * 1998-11-13 2003-12-08 花王株式会社 Release agent composition
US6440856B1 (en) * 1999-09-14 2002-08-27 Jsr Corporation Cleaning agent for semiconductor parts and method for cleaning semiconductor parts
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6613157B2 (en) * 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
AU2003226048A1 (en) * 2002-04-12 2003-10-27 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
US6699829B2 (en) * 2002-06-07 2004-03-02 Kyzen Corporation Cleaning compositions containing dichloroethylene and six carbon alkoxy substituted perfluoro compounds

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
WO2003077032A1 (en) * 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
WO2006138505A1 (en) * 2005-06-16 2006-12-28 Advanced Technology Materials, Inc. Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO2006113222A2 *

Also Published As

Publication number Publication date
JP2008538013A (en) 2008-10-02
CN101198683B (en) 2011-09-14
WO2006113222A3 (en) 2007-11-08
EP1877530A4 (en) 2010-06-09
SG161280A1 (en) 2010-05-27
TW200700916A (en) 2007-01-01
KR20070121845A (en) 2007-12-27
WO2006113222A2 (en) 2006-10-26
CN101198683A (en) 2008-06-11

Similar Documents

Publication Publication Date Title
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP5349326B2 (en) Compositions and methods for selective removal of silicon nitride
US7479474B2 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
TWI710629B (en) Compositions and methods for removing ceria particles from a surface
EP1879704A2 (en) Formulations for cleaning ion-implanted photoresist layers from microelectronic devices
WO2006138505A1 (en) Dense fluid compositions for removal of hardened photoresist, post-etch residue and/or bottom anti-reflective coating layers
KR20080050488A (en) Removal of particle contamination on patterned silicon/silicon dioxide using dense fluid/chemical formulations
KR20200030121A (en) Methods for the selective removal of ashed spin-on glass
JP2007526653A (en) Enhanced removal of silicon-containing particulate matter using supercritical fluid-based compositions
TWI754163B (en) Post cmp cleaning compositions for ceria particles
JP2011517328A (en) Non-selective oxide etching wet cleaning composition and method of use
JP2011520142A (en) Low pH mixture for removal of high density implanted resist
TWI821455B (en) Post chemical mechanical polishing cleaning compositions
WO2006113222A2 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2022507589A (en) Silicon Nitride Etching Compositions and Methods
JP2015517691A (en) Composition and process for stripping photoresist from a surface comprising titanium nitride
KR20060121168A (en) Removal of mems sacrificial layers using supercritical fluid/chemical formulations
US20200148979A1 (en) Post cmp cleaning composition
JP2022519329A (en) Composition for removing ceria
CN114269884A (en) Improved formulations for high selectivity silicon nitride etching
EP1949422A1 (en) Method for cleaning a semiconductor structure and chemistry thereof
WO2022076252A1 (en) Microelectronic device cleaning composition
TW202330894A (en) Microelectronic device cleaning composition
WO2023230394A1 (en) Formulated alkaline chemistry for polysilicon exhume
US20080287332A1 (en) Method for Removing Etch Residue and Chemistry Therefor

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20071115

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU LV MC NL PL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL BA HR MK YU

DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20100511

RIC1 Information provided on ipc code assigned before grant

Ipc: C11D 3/44 20060101ALI20100504BHEP

Ipc: C11D 3/43 20060101ALI20100504BHEP

Ipc: C11D 3/30 20060101ALI20100504BHEP

Ipc: C11D 3/20 20060101ALI20100504BHEP

Ipc: C11D 1/72 20060101ALI20100504BHEP

Ipc: C11D 1/02 20060101ALI20100504BHEP

Ipc: C11D 1/00 20060101ALI20100504BHEP

Ipc: G03F 7/42 20060101ALI20100504BHEP

Ipc: H01L 21/311 20060101AFI20100504BHEP

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

RIC1 Information provided on ipc code assigned before grant

Ipc: C11D 3/30 20060101ALI20120827BHEP

Ipc: C11D 7/22 20060101ALI20120827BHEP

Ipc: C11D 7/32 20060101ALI20120827BHEP

Ipc: C11D 7/50 20060101ALI20120827BHEP

Ipc: G03F 7/42 20060101ALI20120827BHEP

Ipc: C11D 3/28 20060101ALI20120827BHEP

Ipc: C11D 11/00 20060101ALI20120827BHEP

Ipc: C11D 3/43 20060101ALI20120827BHEP

Ipc: H01L 21/311 20060101AFI20120827BHEP

Ipc: C11D 3/16 20060101ALI20120827BHEP

Ipc: B82Y 30/00 20110101ALI20120827BHEP

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20130206