EP1494821A1 - Fluid assisted cryogenic cleaning - Google Patents

Fluid assisted cryogenic cleaning

Info

Publication number
EP1494821A1
EP1494821A1 EP03728337A EP03728337A EP1494821A1 EP 1494821 A1 EP1494821 A1 EP 1494821A1 EP 03728337 A EP03728337 A EP 03728337A EP 03728337 A EP03728337 A EP 03728337A EP 1494821 A1 EP1494821 A1 EP 1494821A1
Authority
EP
European Patent Office
Prior art keywords
cleaning
vapor
fluid
contaminants
cryogenic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP03728337A
Other languages
German (de)
French (fr)
Other versions
EP1494821A4 (en
Inventor
Souvik Banerjee
Harlan Forrest Chung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BOC Inc
Original Assignee
BOC Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/324,221 external-priority patent/US6852173B2/en
Priority claimed from US10/403,147 external-priority patent/US6949145B2/en
Application filed by BOC Inc filed Critical BOC Inc
Publication of EP1494821A1 publication Critical patent/EP1494821A1/en
Publication of EP1494821A4 publication Critical patent/EP1494821A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0064Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes
    • B08B7/0092Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes by cooling
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24CABRASIVE OR RELATED BLASTING WITH PARTICULATE MATERIAL
    • B24C1/00Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods
    • B24C1/003Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods using material which dissolves or changes phase after the treatment, e.g. ice, CO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Definitions

  • the International Technology Roadmap for Semiconductors indicates that the critical particle size is half of a DRAM 1/2 pitch [1].
  • the critical particle size is 65 nm. Therefore, particles larger than 65 nm size must be removed to ensure a defect-free device.
  • C c is the Cunningham slip correction factor given as in equation (2)
  • Cc 1 + 1.246( ⁇ /a) + 0.42( ⁇ /a)exp[-0.87(a/ ⁇ )] (2)
  • Equation 1 shows that the relaxation time decreases with particle size. Therefore, the smaller-sized particles will not be able to arrive at the wafer surface with sufficient velocity to effectively clean the inside walls of the submicron vias and trenches.
  • a reactive gas or reactive vapor of a liquid may be used to aid in the removal of contaminants.
  • the reactive gas or vapor is selected according to its reactivity with the contaminants on the substrate surface.
  • Reactive gases or vapors are generally used to remove organic photoresist and fluoropolymer etch residue inside features on the substrate surface.
  • the gas/vapor After reacting with the contaminants, the gas/vapor preferably produces byproducts in a gaseous form.
  • references to reactive gas may include reactive vapors of a liquid and references to reactive vapors may include reactive gases.

Abstract

The present invention is directed to fluid assisted cryogenic cleaning of a substrate surface requiring precision cleaning such as semiconductors, metals, and dielectric films. The process comprises the steps of applying a fluid selected from the group consisting of high vapor pressure liquids, reactive gases, and vapors of reactive liquids onto the substrate surface followed by or simultaneously with cryogenic cleaning of the substrate surface to remove contaminants.

Description

FLUID ASSISTED CRYOGENIC CLEANING
FIELD OF THE INVENTION
This invention relates to the use of a liquid or vapor cleaning process carried out either simultaneously with or prior to cryogenic cleaning to aid in the removal of foreign materials and contaminants from semiconductor surfaces and other surfaces involved in precision cleaning.
BACKGROUND OF THE INVENTION Cleaning or surface preparation of silicon wafers with or without various layers of films is critical in integrated circuit manufacturing processes. The removal of particles and contaminants from wafer surfaces is performed at several critical process steps during the fabrication of integrated circuits. At a 0.18 μm technology node, 80 out of 400 steps or 20% of the fabrication sequence is dedicated to cleaning. The challenges of cleaning technology are multiplied by the varied types of films, topographies, and contaminants to be removed in front-end-of-line (FEOL) and back-end-of-line (BEOL) cleaning processes. Removal of particles is an important part of this cleaning.
For the defect-free manufacture of integrated circuits, the International Technology Roadmap for Semiconductors (ITRS) indicates that the critical particle size is half of a DRAM 1/2 pitch [1]. Thus, at the 130 nm technology node, the DRAM 1/2 pitch being 130 nm, the critical particle size is 65 nm. Therefore, particles larger than 65 nm size must be removed to ensure a defect-free device.
Such small particles are difficult to remove since the ratio of the force of adhesion to removal increases for smaller-sized particles. For submicron particles, the primary force of adhesion of the particles to a surface is the Van der Waals force. This force depends on the size of the particle, the distance of the particle to the substrate surface, and the
Hamaker constant. The Van der Waals force for a spherical particulate on a flat substrate is given as in equation 1:
12Z- 2 (1) where A13 is the Hamaker constant "of the system composed of the particle, the surface and the intervening medium; dp is the particle diameter; and Zo is the distance of the particle from the surface. The Hamaker constant Aι32 for the composite system is given as in equation (2): A132 = A12 + A33 - Aw - A23 (2)
The relationship of the Hamaker constant of two dissimilar materials is expressed as the geometric mean of the individual Hamaker constants as Ay = (Aϋ*Ajj)1/2 where An and Ajj are the Hamaker constants of materials i and j. It is calculated theoretically using either the Lifshitz or the London models. The Hamaker constant for particles and surfaces used in integrated circuit manufacturing processes is given in literature [2, 3] and is less when the intervening medium is liquid as compared to air. The Van der Waals force, being directly proportional to the Hamaker constant, is therefore reduced when there is a liquid layer between the particle and the surface. In addition to the difficulty in removing small particles from the surface, there are various types of organic and metal-organic contaminants which must be removed. The demands for greater switching speed and circuit performance have seen the advent of new dielectric materials (dielectric constant of <3) and metals to reduce the RC delay constant in circuits. The metal of choice, which is copper, has added several challenges to the process integration scheme. For aluminum interconnects, the metal patterning was performed by reactive ion etching (RIE) of the aluminum followed by dielectric deposition. With copper, the dielectric film is first deposited and etched to form vias and trenches followed by the deposition of copper in those etched features. The excess copper is then removed using chemical mechanical polishing (CMP) to planarize the surface for subsequent layers of film. This method of forming copper interconnects for the back-end- of-line (BEOL) is known as the Dual Damascene process.
Following the dielectric etch to form the vias and trenches, a large amount of fluoropolymeric residue is left both on the surface of the wafer and on the inside of features as seen in Figure 1. These residues are generated during the etching process, partly for sidewall passivation during anisotropic etching. The etch residue has to be cleaned prior to the deposition of the successive film layers: the copper barrier Ta/TaN film, copper seed layer, and finally the electrochemical filling of the features with copper in the Damascene process.
The dimensions of the features used in the interconnects at the BEOL are currently around 0.13 μm. For cryogenic cleaning to work effectively in removing the sidewall residues from inside the features, as shown in Figure 1, the cryogenic particles must be less than 0.13 μm in size. As well, these particles must arrive at the surface of the wafer with enough velocity to impart the momentum transfer required to dislodge the sidewall residue.
There are three mechanisms by which surface cleaning is done: 1) momentum transfer by cryogenic particles to overcome the force of adhesion of slurry particles to the wafer surface, 2) drag force of the cleaning gases to remove the dislodged particles off the surface of the wafer, and 3) the dissolution of organic contaminants by liquid formed at the interface of the cryogenic particle and the wafer surface.
In CO2 cryogenic cleaning, the gas flow over the wafer surface creates a boundary layer. The CO2 cryogenic particles must travel through the boundary layer to arrive at the wafer surface and at the contaminant particle to be removed. During the flight through the boundary layer, their velocity decreases due to the drag force on them by the gaseous CO2 in the boundary layer. Assuming the thickness of the boundary layer to be h, a snow particle must enter the layer with a normal component of velocity equal to at least h/t where t is the time taken to cross the boundary layer and arrive at the wafer surface. The relaxation time of the particle crossing the boundary layer is given in equation (1) as the following:
9η where: a is the particle radius pp is the particle density η is the viscosity of the gas
Cc is the Cunningham slip correction factor given as in equation (2) Cc = 1 + 1.246(λ/a) + 0.42(λ/a)exp[-0.87(a/λ)] (2)
where λ is the mean free path of gas molecules. Since the CO2 cryogenic cleaning is conducted at atmospheric pressure, the Cunningham slip correction factor becomes equal to 1 in equation (1) for cryogenic particles larger than 0.1 μm in size.
Thus, for CO2 snow particles to have sufficient momentum to remove foreign material from the wafer surface and from inside the features, the time to cross the boundary layer must be less than the relaxation time, in which case they will arrive at the surface with greater than 36% of the initial velocity. Equation 1 shows that the relaxation time decreases with particle size. Therefore, the smaller-sized particles will not be able to arrive at the wafer surface with sufficient velocity to effectively clean the inside walls of the submicron vias and trenches.
The prior art processes generally use CO2 or argon cryogenic spray for removing foreign material from surfaces. As examples, see U.S. Patent No. 5,931,721 entitled Aerosol Surface Processing; U.S. Patent No. 6,036,581 entitled Substrate Cleaning Method and Apparatus: U.S. Patent No. 5,853,962 entitled Photoresist and Redeposition Removal Using Carbon Dioxide Jet Spray; U.S. Patent No. 6,203,406 entitled Aerosol Surface Processing; and U.S. Patent No. 5,775,127 entitled High Dispersion Carbon Dioxide Snow Apparatus. In all of the above prior art patents, the foreign material is removed from a relatively planar surface by physical force involving momentum transfer to the contaminants. Since the force of adhesion between the contaminant particles and the substrate is strong, the prior art processes are ineffective for removing small, <0.3 μm particles. As well, such cleaning methods are inadequate for features with high aspect ratios such as in vias and trenches in the back-end-of-line integrated device fabrication process where removal of small submicron particles and complex polymeric residues, as generated by dielectric etch processes, is required.
U.S. Patent No. 6,332,470 entitled Aerosol Substrate Cleaner discloses the use of vapor only or vapor in conjunction with high pressure liquid droplets for cleaning semiconductor substrate. Unfortunately, the liquid impact does not have sufficient momentum transfer capability as solid CO2 and will therefore not be as effective in removing the smaller-sized particles. U.S. Patent No. 5,908,510 entitled Residue Removal by Supercritical Fluids discloses the use of cryogenic aerosol in conjunction with supercritical fluid or liquid CO2. Since CO2 is a non-polar molecule, the solvation capability of polar foreign material is significantly reduced. Also, since the liquid or supercritical CO formation requires high pressure (greater than 75 psi for liquid and 1080 psi for supercritical), the equipment is expensive. U.S. Patent No. 6,231,775 proposes the use of sulfur trioxide gas by itself or in combination with other gases for removing organic materials from substrates as in ashing. Such vapor phase cleaning is inadequate for removing cross-linked photoresist formed during the etching in a typical dual Damascene integration scheme using low k materials such as carbon doped oxides.
As such, there remains a need for the effective and efficient removal of contaminants including particles, foreign materials, and chemical residues as well as homogeneous and inhomogeneous contaminants consisting of cross-linked and bulk photoresist, post-etch residues, and sub-micron sized particulates both from the surface of the semiconductor wafers, metal films, and other substrates requiring precision cleaning as well as from inside high aspect ratio features.
SUMMARY OF THE INVENTION
The present invention provides for a new and improved process for the cleaning of substrate surfaces requiring precision cleaning such as semiconductors, metals, and dielectric films.
The invention comprises a cleaning process to remove contaminants from substrate surfaces requiring precision cleaning. It is used either prior to or simultaneously with cryogenic cleaning to remove foreign matter and contaminants from the substrate surface. The process applies a fluid selected from a high-vapor pressure liquid, a reactive gas, or vapor of a reactive liquid, depending on the contaminants to be removed from the substrate surface. The fluid preferably stays in contact with the surface for up to 20 minutes. It forms an environment which removes contaminants from the surface or reduces the force of adhesion to the surface so that they can be subsequently removed using cryogenic cleaning. BRIEF DESCRIPTION OF THE DRAWINGS
Embodiments of the present invention are described with reference to the figures in which:
Figure 1 shows the cleaning of the post-trench etch residues in a dual- damascene structure. The left image is the SEM of the post-trench etch structure with etch residues present. The right image is the SEM of the post-trench etch structure after a sequence of plasma and wet clean steps.
Figure 2 is a graph showing the efficiency of particle removal compared to particle size for both standard cryogenic cleaning and the present liquid-assisted cleaning process.
Figure 3 shows a schematic diagram of a conventional CO2 cryogenic cleaning system.
DETAILED DESCRIPTION Li quid- Assisted Cleaning Process and Example
Liquids used in the present process are high vapor pressure liquids which reduce the Van der Waals force between foreign material and a substrate surface such as a semiconductor wafer surface or film surface. The high vapor pressure liquid is sprayed on to the surface of the substrate. The initial spraying of liquid will reduce the Van der Waals forces thereby allowing the subsequent cryogenic cleaning to more easily remove foreign material from the substrate surface. If the upstream process prior to the cryogenic cleaning is an aqueous based process, as in co-pending U.S. patent application 10/215,859, then the liquid may also remove the bulk water prior to the cryogenic cleaning. Further, the high vapor pressure liquid may act to dissolve organic contaminants from the surface. A particular high-vapor pressure liquid will be chosen depending on the organic contaminants contained on the substrate surface. A skilled person in this field will be aware of the types of liquids which would dissolve common organic contaminants.
The high vapor pressure liquids suitable for use in the present invention include, but are not limited to, ethanol, acetone, ethanol-acetone mixtures, isopropyl alcohol, methanol, methyl formate, methyl iodide, ethyl bromide, acetonitrile, ethyl chloride, pyrrolidine, and tetrahydrofuran. However, any liquid having a high vapor pressure may be used. High vapor pressure liquids will readily evaporate off the surface of the substrate without the need for drying by heating or spinning the substrate. The liquids also preferably have low freezing points and are polar in nature. The low freezing point of the liquids ensure that any residual liquid left on the wafer surface at the time of cryogenic cleaning will not freeze due to the drop in wafer temperature that can be attained during the cryogenic cleaning process. The polarity of the liquid aids in the dissolution of organic and inorganic contaminants on the wafer surface. Preferably, the vapor pressure of the liquid is greater than 5 kPa at 25°C, the freezing point of the liquid is below -50°C, and the dipole moment is greater than 1.5 D.
High vapor pressure liquids may be used on any substrate surface requiring precision cleaning however, preferred surfaces include semiconductor surfaces as well as metal and dielectric films. Therefore, whenever the term "semiconductor", "metal film", "dielectric film", or "wafer" is used herein, it is intended that the same process may be applied to other substrate surfaces. Other surfaces include hard disk media, optics, GaAs substrates and films in compound semiconductor manufacturing processes. Examples provided herein are not meant to limit the present invention.
In one embodiment of the present invention, the high-vapor pressure liquid is sprayed onto the surface of a semiconductor wafer at a temperature of 30°-50°C. The liquid may be sprayed either as a thick film or as a thin layer. The layer is preferably at least 5-10 Δ thick. It is preferably sprayed using a misting nozzle made of Teflon used in wet benches for spraying deionized water onto wafer surfaces. However, any other nozzle used in the art may be employed. The wafer is preferably covered with the liquid for at least one minute and preferably up to 10 minutes. The liquid may be applied to the surface once during this time period or it may be sprayed multiple times to ensure that the wafer surface remains wet. As well, the wafer may be rotated at approximately 100 rpm while the liquid is sprayed on it to ensure uniform coverage of the wafer surface.
Following this wetting period, the cryogenic spraying is initiated. Cryogenic spraying processes may use carbon dioxide, argon or other gases and are well known within the art. Any known technique may be used and an example of CO2 cryogenic cleaning is described below. The result of the initial application of high vapor pressure liquid is the reduction of the Hamaker constant and hence the Van der Waals forces. This application lowers the forces of adhesion of the contaminants to the wafer surface and the contaminants is easier to remove from the wafer surface than through the use of cryogenic cleaning alone.
Alternatively, the liquid can be applied simultaneously with the cryogenic cleaning. I-n such a case, for example, a second nozzle for spraying the liquid would be mounted in conjunction with a first nozzle used for CO2 cryogenic cleaning. The liquid would preferably be applied in a thin layer and the CO2 cryogenic cleaning would continue simultaneously with the spraying of the liquid onto the substrate.
As a result of the use of the high vapor pressure liquid, the removal of particle contaminants by cryogenic cleaning is significantly improved. Figure 2 shows the efficiency of particle removal compared to particle size for both standard cryogenic cleaning as well as liquid-assisted cryogenic cleaning. Removal of particles having a size below 0.76 μm is significantly improved with the use of the present liquid-assisted CO2 cryogenic cleaning process rather than standard CO2 cryogenic cleaning. For particle sizes ranging from 0.98 μm to 2.50 μm, there was no significant difference in the removal of particles between the use of the present liquid assisted cryogenic cleaning and the standard CO2 cryogenic cleaning process.
Vapor- Assisted Cleaning and Example
A reactive gas or reactive vapor of a liquid may be used to aid in the removal of contaminants. The reactive gas or vapor is selected according to its reactivity with the contaminants on the substrate surface. Reactive gases or vapors are generally used to remove organic photoresist and fluoropolymer etch residue inside features on the substrate surface. After reacting with the contaminants, the gas/vapor preferably produces byproducts in a gaseous form. (Hereinafter, for ease of reference in the description of the present invention, references to reactive gas may include reactive vapors of a liquid and references to reactive vapors may include reactive gases.)
In semiconductor wafer cleaning processes, the contaminants to be removed include not only particle contaminants but also films of organic, inorganic, and metal- organic residues at various steps in microelectronic manufacturing both in FEOL (front- end-of-line) and BEOL processes. These films cannot be removed by purely physical mechanisms. Chemical assistance to any physical mechanism of removal is required to meet cleanliness requirements. In the present invention, the gas phase cleaning is the chemical means of cleaning whereas the cryogenic cleaning is predominantly the physical mechanism of cleaning. The two processes working in tandem or in sequence are able to completely remove the homogeneous or inhomogeneous contaminants.
Examples of the reactive vapor which may be used in the present process may be the vapor of a high vapor pressure liquid and include, but are not limited to, acetone, ethanol-acetone mixtures, isopropyl alcohol, methanol, methyl formate, methyl iodide, and ethyl bromide. It may also include a gas such as ozone, water vapor, hydrogen, nitrogen, nitrogen oxides, nitrogen trifluoride, helium, argon, neon, sulfur trioxide, oxygen, fluorine, or fmorocarbon gases or combinations of gases. The gas or vapor should be reactive with the organic photoresist as well as the fluoropolymer etch residue inside the features. As well, the reaction byproducts are preferably gaseous so that they can be removed from the cleaning chamber by the flow of nitrogen gas. Preferred gases and vapors of liquids include isopropyl alcohol, ethanol-acetone mixtures, methanol, ozone, water vapor, nitrogen trifluoride, sulfur trioxide, oxygen, fluorine and fluorocarbon gases. In post-etch cleaning applications, cryogenic particles cannot get inside the high aspect ratio features of vias and trenches. Gas or vapor is needed to diffuse into these features effectively. The gas or vapor will then chemically react with the polymeric residue and convert it to gaseous by-products which can be removed from the surface by a flow of nitrogen across the substrate surface. Alternatively, it can be introduced in a separate chamber kept under low pressure. The gas/vapor phase reaction in this chamber could be done at temperatures of up to 200EC. Following this cleaning process, the wafers may be transferred to a second cleaning chamber at atmospheric pressure where the cryogenic cleaning takes place.
During the process, the vapor may condense on the wafer surface. With the proper choice of vapors, the condensation could also lower the Hammaker constant and hence the force of adhesion of particles to surfaces. This condensation would thereby help in the particle removal by cryogenic cleaning.
The gas or vapor can be further made to increase in the reactivity with the contaminants to be removed by using a free radical initiator such as ultra violet light, X- ray, Excimer laser, corona discharge or plasma to generate reactive chemical species. It is combined with the physical cleaning of snow or cryogenic aerosols to remove the non- reactive contaminants. Similar cleaning mechanisms are seen in wet cleaning and dual frequency plasma cleaning using downstream MW plasma to generate the chemical species for reaction with the contaminant and RF plasma to generate the ion bombardment.
In one embodiment of the present invention in combination with CO2 cryogenic cleaning, the vapor of a liquid is sprayed through a nozzle attached to the same arm as a CO cryogenic nozzle. The nozzle may be a small stainless steel bore, 1/4 to 1/2" in diameter, or a specially designed nozzle with corona wire along the axis to initiate discharges in the vapor. The nozzle is preferably at an angle of approximately 10°-90° to the substrate surface. The vapor may also be sprayed through a showerhead positioned above the substrate surface to ensure uniform coverage of the substrate surface. During the vapor delivery, the substrate is preferably kept at the same temperature as the vapor. If condensation of the vapor is desired, the substrate may be kept at a temperature below the vapor to initiate condensation of the vapor into a thin film of liquid on the substrate surface. However, if the vapor is not sufficiently reactive for a given contaminant type, the vapor may be made reactive with the assistance of a free radical initiator. The vapor is sprayed onto the substrate surface for preferably up to twenty minutes. It may be sprayed continuously or intermittently. Preferably, a single type of vapor is used but a mixture of vapors may be used simultaneously or sequentially, if preferred, to remove contaminants.
The spraying of the reactive gas or vapor in accordance with the present invention may occur in the same chamber as the cryogenic cleaning or it may be done in a separate chamber. As well, the cryogenic cleaning may be initiated simultaneously with or directly after the reactive gas or vapor is used. Depending on the reactive gas or vapor used, for example water vapor, it may be desirable to purge the chamber of this vapor prior to initiating the cryogenic cleaning. As a result of the use of the reactive gas or vapor, the removal of contaminants, particularly from etched features on a substrate surface, is significantly improved. This cleaning method is particularly beneficial in removing homogeneous contaminants such as a film of post etch residue on the sidewalk of vias and trenches or the photoresist remaining after etching. Example - Standard CO2 Cryogenic Cleaning
Either following the fluid cleaning process or simultaneously with it, standard cryogenic cleaning is carried out. A standard CO2 cryogenic cleaning process is described in U.S. Patent No. 5,853,962 which is incorporated herein by reference. As an example of a typical CO2 cryogenic cleaning system, reference is made to Figure 3. The cleaning container 12 provides an ultra clean, enclosed or sealed cleaning zone. Within this cleaning zone is the wafer 1 held on a platen 2 by vacuum. The platen with wafer is kept at a controlled temperature of up to 100°C. Liquid CO2, from a cylinder at room temperature and 850 psi, is first passed through a sintered in-line filter 4 to filter out very small particles from the liquid stream to render the carbon dioxide as pure as possible and reduce contaminants in the stream. The liquid CO2 is then made to expand through a small aperture nozzle, preferably of from 0.05" to 0.15" in diameter. The rapid expansion of the liquid causes the temperature to drop resulting in the formation of solid CO snow particles entrained in a gaseous CO2 stream flowing at a rate of approximately 1-3 cubic feet per minute. The stream of solid and gaseous CO2 is directed at the wafer surface at an angle of about 30° to about 60°, preferably at an angle of about 45°. The nozzle is preferably positioned at a distance of approximately 0.375" to 0.5" measured along the line of sight of the nozzle to the wafer surface. During the cleaning process, the platen 2 moves back and forth on track 9 in the y direction while the arm of the cleaning nozzle moves linearly on the track 10 in the x direction. This results in a rastered cleaning pattern on the wafer surface of which the step size and scan rate can be pre-set as desired. The humidity in the cleaning chamber is preferably maintained as low as possible, for example <-40°C dew point. The low humidity is present to prevent the condensation and freezing of water on the wafer surface from the atmosphere during the cleaning process which would increase the force of adhesion between the contaminant particles and the wafer surface by forming crystalline bridges between them. The low humidity can be maintained by the flow of nitrogen or clean dry air.
As well, throughout the cleaning process, it is important that the electrostatic charge in the cleaning chamber be neutralized. This is done by the bipolar corona ionization bar 5. The system also has a polonium nozzle mounted directly behind the CO2 nozzle for enhancing the charge neutralization of the wafer which is mounted on an electrically grounded platen. The electrostatic charge develops by triboelectrification due to the flow of CO2 through the nozzle and across the wafer surface and is aided by the low humidity maintained in the cleaning chamber.
For particulate contaminants, the removal mechanism is primarily by momentum transfer of the CO2 cryogenic particles to overcome the force of adhesion of the contaminant particles on the wafer surface. Once the particles are "loosened", the drag force of the gaseous CO2 removes it from the surface of the wafer. The cleaning mechanism for organic film contaminants is by the formation of a thin layer of liquid CO2 at the interface of the organic contaminant and the surface due to the impact pressure of the cryogenic CO2 on the wafer surface. The liquid CO2 can then dissolve the organic contaminants and carry it away from the wafer surface.
The embodiments and examples of the present application are meant to be illustrative of the present invention and not limiting. Other embodiments which could be used in the present process would be readily apparent to a skilled person. It is intended that such embodiments are encompassed within the scope of the present invention.
References
[1]. International Technology Roadmap for Semiconductors 2001 Edition. [2]. Handbook of Semiconductor Wafer Cleaning Technology Science, Technology and Applications, Edited by Werner Kern, Noyes Publications, 1993.
[3]. Particle Control for Semiconductor Manufacturing, Edited by R. P. Donovan, Marcel Dekker Inc., 1990.

Claims

1. A process for the removal of contaminants from a surface of a substrate requiring precision cleaning, comprising the steps of: a) applying at least one fluid to the substrate surface, the fluid selected from the group consisting of a high vapor pressure liquid, a reactive gas, and of a reactive liquid; and b) cryogenically cleaning the surface of the substrate.
2. The process of claim 1 wherein steps a) and b) are carried out simultaneously.
3. The process of claim 1 wherein steps a) and b) are carried out sequentially.
4. The process of claim 1 wherein the at least one fluid is a high vapor pressure liquid selected from the group consisting of ethanol, acetone, ethanol-acetone mixtures, isopropyl alcohol, methanol, methyl formate, methyl iodide, ethyl bromide, acetonitrile, ethyl chloride, pyrrolidine, tetrahydrofuran and mixtures thereof.
5. The process of claim 1 wherein the at least one fluid is a vapor of a reactive liquid selected from the group of liquids consisting of ethanol, acetone, ethanol-acetone mixtures, isopropyl alcohol, methanol, methyl formate, methyl iodide, ethyl bromide, and mixtures thereof.
6. The process of claim 1 wherein the at least one fluid is a reactive gas selected from one or more of the group consisting of ozone, water vapor, hydrogen, nitrogen, nitrogen oxides, nitrogen triflouride, helium, argon, neon, sulfur trioxide, oxygen, fluorine, fluorocarbon gases and mixtures thereof.
7. The process of claim 1 wherein the at least one fluid is a reactive gas or vapor selected from the group consisting of isopropyl alcohol, ethanol-acetone mixtures, methanol, ozone, water vapor, nitrogen triflouride, sulfur trioxide, oxygen, fluorine and fluorocarbon gases, and mixtures thereof.
8. The process of claim 1 wherein the fluid remains in contact with the surface for up to 10 minutes prior to the initiation of cryogenic cleaning.
9. The process of claim 8 wherein the fluid remains in contact with the surface for less than 2 minutes prior to the initiation of cryogenic cleaning.
10. The process of claim 1 wherein the contaminants are less than 0.76 μm in size.
11. The process of claim 1 wherein the contaminants are less than 0.13 μm in size.
12. The process of claim 1 wherein the high vapor pressure liquid has a vapor pressure greater than about 5 kPa at 25°C, and a freezing point below about -50°C.
13. The process of claim 1 wherein the high vapor pressure liquid has a dipole moment of greater than about 1.5 D.
14. The process of claim 1 wherein the high vapor pressure liquid remains on the surface in a layer of at least 5 Δ for less than 10 minutes and preferably less than 2 minutes prior to the initiation of cryogenic cleaning.
15. The process of claim 4 wherein the process includes the further step of the high vapor pressure liquid removing bulk water from the substrate surface.
16. The process of claim 1 wherein the substrate surface is a semiconductor, metal or dielectric film.
17. The process of claim 1 wherein the at least one fluid is a reactive gas or vapor which reacts with the contaminants on the surface to form a volatile gaseous byproduct; and further comprising the steps of maintaining the reactive gas or vapor in contact with the surface for up to 20 minutes, and removing the gaseous byproducts, prior to the initiation of cryogenic cleaning.
18. The process of claim 17 wherein the reactive gas or vapor is introduced in a chamber containing the substrate, under low pressure and/or at temperatures of up to 200EC.
19. The process of claim 18 wherein removing the byproducts comprises purging the chamber with nitrogen or Clean Dry Air.
20. The process of claim 17 wherein the reactive gas or vapor is applied to the surface in the presence of a free radical initiator to generate reactive chemical byproducts from the reactive gas or vapour and the contaminants.
21. The process of claim 20 wherein the free radical initiator is ultraviolet light, x-ray, laser, corona discharge, or plasma.
EP03728337A 2002-04-05 2003-04-03 Fluid assisted cryogenic cleaning Withdrawn EP1494821A4 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US36985202P 2002-04-05 2002-04-05
US36985302P 2002-04-05 2002-04-05
US369852P 2002-04-05
US369853P 2002-04-05
US324221 2002-12-19
US10/324,221 US6852173B2 (en) 2002-04-05 2002-12-19 Liquid-assisted cryogenic cleaning
US10/403,147 US6949145B2 (en) 2002-04-05 2003-03-31 Vapor-assisted cryogenic cleaning
US403147 2003-03-31
PCT/US2003/010354 WO2003086668A1 (en) 2002-04-05 2003-04-03 Fluid assisted cryogenic cleaning

Publications (2)

Publication Number Publication Date
EP1494821A1 true EP1494821A1 (en) 2005-01-12
EP1494821A4 EP1494821A4 (en) 2009-11-25

Family

ID=29255566

Family Applications (1)

Application Number Title Priority Date Filing Date
EP03728337A Withdrawn EP1494821A4 (en) 2002-04-05 2003-04-03 Fluid assisted cryogenic cleaning

Country Status (6)

Country Link
EP (1) EP1494821A4 (en)
JP (1) JP2005522056A (en)
KR (1) KR20040098054A (en)
CN (1) CN1665609A (en)
AU (1) AU2003233485A1 (en)
WO (1) WO2003086668A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040029494A1 (en) * 2002-08-09 2004-02-12 Souvik Banerjee Post-CMP cleaning of semiconductor wafer surfaces using a combination of aqueous and CO2 based cryogenic cleaning techniques
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
PT103951A (en) * 2008-01-31 2009-07-31 Univ Nova De Lisboa PROCESSING OF ELECTRICAL AND / OR ELECTRONIC ELEMENTS IN CELLULOSIC MATERIAL SUBSTRATES
CN102476108A (en) * 2010-11-23 2012-05-30 中国科学院微电子研究所 High-temperature vapor-water mixed jet cleaning system and method
US9925639B2 (en) * 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP2016093870A (en) * 2014-11-14 2016-05-26 株式会社東芝 Processing device
JP2016093871A (en) * 2014-11-14 2016-05-26 株式会社東芝 Processing device and nozzle
DE102015003942A1 (en) * 2015-03-26 2016-09-29 Linde Aktiengesellschaft Deburring of molded parts, in particular rubber moldings
CN106269726B (en) * 2016-09-13 2018-09-04 内蒙古蒙牛乳业(集团)股份有限公司 The method for cleaning evaporative light scattering detector drift tube
CN106493121B (en) * 2016-11-01 2017-10-03 武汉大学 A kind of nanometer cleaning method based on active liquid and laser
TW202221789A (en) * 2020-11-27 2022-06-01 南韓商Psk有限公司 Method and apparatus for treating substrate
CN114042684B (en) * 2022-01-12 2022-03-22 北京通美晶体技术股份有限公司 Indium phosphide wafer and mixed cleaning process thereof

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631250A (en) * 1985-03-13 1986-12-23 Research Development Corporation Of Japan Process for removing covering film and apparatus therefor
US4695327A (en) * 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
EP0241749A1 (en) * 1986-04-15 1987-10-21 Messer Griesheim Gmbh Method of removing remnants of material sticking to the surfaces of work pieces
US5081068A (en) * 1989-07-17 1992-01-14 Mitsubishi Denki Kabushiki Kaisha Method of treating surface of substrate with ice particles and hydrogen peroxide
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6332470B1 (en) * 1997-12-30 2001-12-25 Boris Fishkin Aerosol substrate cleaner

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6066032A (en) * 1997-05-02 2000-05-23 Eco Snow Systems, Inc. Wafer cleaning using a laser and carbon dioxide snow

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631250A (en) * 1985-03-13 1986-12-23 Research Development Corporation Of Japan Process for removing covering film and apparatus therefor
US4695327A (en) * 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
EP0241749A1 (en) * 1986-04-15 1987-10-21 Messer Griesheim Gmbh Method of removing remnants of material sticking to the surfaces of work pieces
US5081068A (en) * 1989-07-17 1992-01-14 Mitsubishi Denki Kabushiki Kaisha Method of treating surface of substrate with ice particles and hydrogen peroxide
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
US6203406B1 (en) * 1994-11-07 2001-03-20 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6332470B1 (en) * 1997-12-30 2001-12-25 Boris Fishkin Aerosol substrate cleaner

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO03086668A1 *

Also Published As

Publication number Publication date
KR20040098054A (en) 2004-11-18
JP2005522056A (en) 2005-07-21
AU2003233485A1 (en) 2003-10-27
WO2003086668A1 (en) 2003-10-23
CN1665609A (en) 2005-09-07
EP1494821A4 (en) 2009-11-25

Similar Documents

Publication Publication Date Title
US6949145B2 (en) Vapor-assisted cryogenic cleaning
US6852173B2 (en) Liquid-assisted cryogenic cleaning
US8197603B2 (en) Method and apparatus for treating a substrate with dense fluid and plasma
US4806171A (en) Apparatus and method for removing minute particles from a substrate
US6080529A (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
EP0461476B1 (en) Surface cleaning using a cryogenic aerosol
TWI383436B (en) Methods of sputtering a protective coating on a semiconductor substrate
WO2019043448A1 (en) Chemistries for etching multi-stacked layers
US10748789B2 (en) Systems and methods for treating substrates with cryogenic fluid mixtures
WO2003086668A1 (en) Fluid assisted cryogenic cleaning
KR20160041023A (en) Systems and methods for treating substrates with cryogenic fluid mixtures
US20040029494A1 (en) Post-CMP cleaning of semiconductor wafer surfaces using a combination of aqueous and CO2 based cryogenic cleaning techniques
JP2001137797A (en) Dry cleaning apparatus using cluster and method therefor
US20050217706A1 (en) Fluid assisted cryogenic cleaning
US20060105683A1 (en) Nozzle design for generating fluid streams useful in the manufacture of microelectronic devices
WO2000024048A1 (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
TWI278927B (en) Fluid assisted cryogenic cleaning
US20100167552A1 (en) Methods for particle removal during integrated circuit device fabrication
Banerjee Cryoaerosol cleaning of particles from surfaces
JPH04343430A (en) Method of bonding hydrocarbon perfluride polymer film and substrate
McDermott et al. Cleaning using argon/nitrogen cryogenic aerosols
JP3254678B2 (en) Method for manufacturing semiconductor device
Banerjee et al. Cryogenic aerosols and supercritical fluid cleaning
TW201801163A (en) Systems and methods for treating substrates with cryogenic fluid mixtures

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20041014

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK

A4 Supplementary search report drawn up and despatched

Effective date: 20091028

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/02 20060101ALI20091022BHEP

Ipc: B08B 3/00 20060101AFI20031029BHEP

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20100127