EP0464515B1 - Method of manufacturing silicon nitride film - Google Patents

Method of manufacturing silicon nitride film Download PDF

Info

Publication number
EP0464515B1
EP0464515B1 EP91110256A EP91110256A EP0464515B1 EP 0464515 B1 EP0464515 B1 EP 0464515B1 EP 91110256 A EP91110256 A EP 91110256A EP 91110256 A EP91110256 A EP 91110256A EP 0464515 B1 EP0464515 B1 EP 0464515B1
Authority
EP
European Patent Office
Prior art keywords
gas
silicon nitride
reaction tube
pressure
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
EP91110256A
Other languages
German (de)
French (fr)
Other versions
EP0464515A3 (en
EP0464515A2 (en
Inventor
Yuuichi C/O Intell.Property Div. Mikata
Takahiko C/O Intell.Property Div. Moriya
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of EP0464515A2 publication Critical patent/EP0464515A2/en
Publication of EP0464515A3 publication Critical patent/EP0464515A3/en
Application granted granted Critical
Publication of EP0464515B1 publication Critical patent/EP0464515B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/114Nitrides of silicon

Definitions

  • the present invention relates to a method of manufacturing a silicon nitride film.
  • silicon nitride (Si X N Y ) is a solid and chemically inert dielectric material having a very high hardness, a low thermal conductivity, and high resistance to molecular diffusion. Due to these properties, silicon nitride is used as an oxidation mask, a gate insulating film, a passivation film, or the like in, e.g., the manufacture of a semiconductor device.
  • a method of forming a silicon nitride film on a substrate, e.g., the surface of a semiconductor substrate is disclosed in Published Examined Japanese Patent Application No. 60-10108.
  • a substrate is arranged in a reaction tube, a gas mixture of dichlorosilane and ammonia flows in the reaction tube, and the substrate is brought into contact with the gas mixture at a low pressure and a high temperature of 700°C to deposit a silicon nitride film on the substrate by thermal decomposition.
  • the reaction of dichlorosilane and ammonia is given by the following formula: 3SiH2Cl2 + 4NH3 ⁇ Si3N4 + 6HCl + 6H2
  • ammonia must flow in the reaction tube at a gas flow rate five times that of dichlorosilane.
  • ammonium chloride is not solidified at a temperature of 150°C or more, ammonium chloride is deposited in a solid state at a temperature of less than 150°C.
  • portions in the reaction tube or tubes coupled thereto have a temperature of less than 150°C, e.g., portions located on a downstream side from gas supply and exhaust ports in a normal low-pressure CVD (Chemical Vapor Deposition) furnace have a temperature of 150°C or less, ammonium chloride is deposited on these portions.
  • the ammonium chloride deposited as described above is removed from the inner wall of the reaction tube when the pressure in the reaction tube is changed from the atmospheric-pressure state to a low-pressure state or changed from the low-pressure state to the atmospheric-pressure state, and the ammonium chloride is present as particles in the reaction tube. Therefore, the particles are attached on the substrate surface.
  • silane SiH4
  • dichlorosilane a silicon nitride film formed on the surface of the substrate.
  • a thicknesses distribution of a silicon nitride film is not uniform in each wafer, or silicon nitride films having the same thickness cannot be obtained on a plurality of wafers.
  • the present invention has been made in consideration of the above problem, and has as its object to provide a method of manufacturing a silicon nitride film.
  • the films can be further effectively formed.
  • Fig. 1 is a schematic view showing a low-pressure CVD device for performing the first embodiment of the present invention.
  • reference numeral 1 denotes a vertical tubular furnace for constituting a reaction tube.
  • a plurality of semiconductor wafers 2 each having a diameter of 6 ⁇ are arranged in the reaction tube, the pressure of which is decreased to a predetermined pressure.
  • a heater 3 is mounted on the outer wall of the reaction tube to heat the inside of the reaction tube to a desired temperature.
  • An exhaust port 4 coupled to a vacuum pump (not shown) for exhausting air from the furnace to decrease the pressure thereof is formed in the upper portion of the tubular furnace 1.
  • a first gas supply tube 5 for supplying an organic compound gas containing silicon and an amine group, e.g., Si(N(CH3)2)4, to the inside of the tubular furnace 1 and a second gas supply tube 6 for supplying ammonia (NH3) to the inside of the tubular furnace 1 extend through the outer wall of the tubular furnace 1 such that one end of each of the supply tubes 5 and 6 is open to the inside of the tubular furnace 1.
  • a baking tank 10 for supplying an organic compound gas is coupled to the other end of the first gas supply tube 5.
  • the baking tank 10 is obtained such that a first valve 7 for opening/closing the gas flow path, a first gas flow rate controller for adjusting the gas flow rate, and a gas generator for generating the organic compound gas are coupled to the first gas supply tube 5 toward the upperstream of the supply tube 5.
  • a second valve 11 for opening/closing a gas flow path, a second gas flow rate controller 12 for adjusting the gas flow rate, and a second gas source 13 for supplying ammonia are arranged to the other end of the second gas supply tube 6.
  • Each of the plurality of semiconductor wafers 2 arranged in the tubular furnace 1 is horizontally held in each of a plurality of shelf portions of a quartz boat 14 provided in the furnace 1 so as to have a space for circulating a gas between the semiconductor wafers 2.
  • the heater 3 is uniformly mounted on the outer wall of the tubular furnace 1 to keep the temperature of the outer wall constant and to minimize local differences in temperature in the tubular furnace 1.
  • the intervals between the shelf portions for arranging the semiconductor wafers 2 are not necessarily equal to each other, and the semiconductor wafers 2 are preferably arranged according to the relationship between the concentration, volume, and temperature of a flowing gas.
  • the temperature and pressure in the tubular furnace 1 are measured by a general-purpose means, i.e., a thermometer and a vacuum gauge, and a control means having an adjuster for adjusting the measurement value within a predetermined range in the furnace 1, thereby keeping the temperature and pressure in the furnace 1 at desired values.
  • a process for manufacturing a silicon nitride film on a semiconductor wafer in a method of manufacturing a silicon nitride film according to the present invention using the above arrangement will be described below.
  • the plurality of semiconductor wafers 2 were horizontally set one by one on the shelf portions of the boat 14 arranged in the tubular furnace 1. That is, the wafers were set such that the wafer surfaces were perpendicular to a gas flow.
  • the temperature in the tubular furnace 1 was kept at a temperature of 700°C using the heater 3 arranged on the outer wall of the furnace.
  • the valve 7 was open while the gas flow rate was controlled by the first gas flow rate controller 9, thereby supplying the organic compound gas at a flow rate of 100 SCCM.
  • the second valve 11 was open while a gas flow rate was adjusted by the second gas flow rate controller 12 to supply ammonia from the second gas source 13 to the furnace at a flow rate of 1,000 SCCM.
  • the temperature and pressure in the tubular furnace 1 were kept as described above, and the two types of gases were continuously supplied to the inside of the furnace for 60 minutes.
  • the first and second valves were closed. Thereafter, the semiconductor wafers 2 were removed from the furnace.
  • a silicon nitride film having a thickness of 600 ⁇ was formed.
  • the silicon nitride film was deposited on each wafer to have a uniform thickness, and the uniformity of the nitride films of the wafers at arbitrary positions in the same furnace was held within ⁇ 5%.
  • the conditions of the above embodiment were changed, i.e., the temperature in the furnace was controlled within a range of 300°C to 1,000°C, and the pressure in the furnace was controlled within a range of 1 mTorr to 10 Torr.
  • the temperature in the furnace was controlled within a range of 300°C to 1,000°C
  • the pressure in the furnace was controlled within a range of 1 mTorr to 10 Torr.
  • Fig. 2 is a schematic view showing a low-pressure CVD device for performing the second embodiment of the present invention.
  • the same reference numerals as in Fig. 1 denote the same parts in Fig. 2, and a detailed description thereof will be omitted.
  • a pair of electrodes 15 are arranged on the inner wall of a tubular furnace 1 and are coupled to an AC power source (not shown).
  • a plasma was produced from any one of Si(N(CH3)2)4, SiH(N(CH3)2)3, SiH2(N(CH3)2)2, and SiH3(N(CH3)2) gases to be decomposed inside the tubular furnace. Uniform silicon nitride films could be effectively formed on the surfaces of the semiconductor wafers 2. Note that, since the plasma was produced from the gas, the reaction temperature was decreased to 300°C.
  • the plasma was produced from the gas as a means for decomposing the gas, when an ultraviolet beam was radiated on the gas to excite and decompose the gas, same result as described above could be obtained.
  • Other radiation beams may be used in place of the ultraviolet beam.
  • the plasma may be produced from the gas or the gas may be excited by a radiation beam while a heating operation is performed.
  • a silicon nitride film formed on a substrate surface an organic compound gas which contains silicon and nitrogen but not containing chlorine as constituting elements is used, and the substrate and the gas are reacted at a low pressure.
  • a silicon nitride film formed on each substrate surface can be uniformly distributed on the substrate to have a predetermined thickness. Differences in thicknesses of silicon nitride films on a plurality of substrate can be decreased. Since an unnecessary solid product is not produced and therefore is not attached on the silicon nitride film, an exposed metal portion such as a reaction vessel is not corroded.

Description

  • The present invention relates to a method of manufacturing a silicon nitride film.
  • Conventionally, silicon nitride (SiXNY) is a solid and chemically inert dielectric material having a very high hardness, a low thermal conductivity, and high resistance to molecular diffusion. Due to these properties, silicon nitride is used as an oxidation mask, a gate insulating film, a passivation film, or the like in, e.g., the manufacture of a semiconductor device. A method of forming a silicon nitride film on a substrate, e.g., the surface of a semiconductor substrate is disclosed in Published Examined Japanese Patent Application No. 60-10108. According to this method, a substrate is arranged in a reaction tube, a gas mixture of dichlorosilane and ammonia flows in the reaction tube, and the substrate is brought into contact with the gas mixture at a low pressure and a high temperature of 700°C to deposit a silicon nitride film on the substrate by thermal decomposition. The reaction of dichlorosilane and ammonia is given by the following formula: 3SiH₂Cℓ₂ + 4NH₃ → Si₃N₄ + 6HCℓ + 6H₂
    Figure imgb0001

    In order to obtain a high growth rate of a film, uniform deposition, and a high-quality film in an economical process, ammonia must flow in the reaction tube at a gas flow rate five times that of dichlorosilane.
  • However, since excessive ammonia flows in the reaction tube, non-reacted ammonia remains in the reaction tube. As is apparent from the above reaction formula, hydrochloric acid produced as a reaction product is reacted with the excessive ammonia to produce ammonium chloride. Although ammonium chloride is not solidified at a temperature of 150°C or more, ammonium chloride is deposited in a solid state at a temperature of less than 150°C. For this reason, since portions in the reaction tube or tubes coupled thereto have a temperature of less than 150°C, e.g., portions located on a downstream side from gas supply and exhaust ports in a normal low-pressure CVD (Chemical Vapor Deposition) furnace have a temperature of 150°C or less, ammonium chloride is deposited on these portions. The ammonium chloride deposited as described above is removed from the inner wall of the reaction tube when the pressure in the reaction tube is changed from the atmospheric-pressure state to a low-pressure state or changed from the low-pressure state to the atmospheric-pressure state, and the ammonium chloride is present as particles in the reaction tube. Therefore, the particles are attached on the substrate surface. In addition, when ammonium chloride is attached on a metal portion exposed to the reaction region and having a relatively low temperature of 150°C or less, the metal portion on which the ammonium chloride is attached is corroded by moisture remaining in the reaction tube due to loading or unloading of the substrate. For this reason, the reaction tube must be periodically washed or heated to remove ammonium chloride.
  • In order to prevent deposition of ammonium chloride, silane (SiH₄) may be used in place of dichlorosilane. In this case, however, since it is difficult to control a deposition speed of silicon nitride, the thickness of a silicon nitride film formed on the surface of the substrate is difficult to uniformize. For example, a thicknesses distribution of a silicon nitride film is not uniform in each wafer, or silicon nitride films having the same thickness cannot be obtained on a plurality of wafers.
  • The present invention has been made in consideration of the above problem, and has as its object to provide a method of manufacturing a silicon nitride film.
  • This object is achieved according to independent claim 1. Preferred embodiments are listed in the dependent claims. According to this method, when a silicon nitride film is formed on a substrate surface, ammonium chloride is not produced. For this reason, even when the pressure in a reaction tube is changed, ammonium nitride particles are not attached on the silicon nitride film formed on the substrate surface, and a metal portion such as a reaction vessel is not corroded because no ammonium nitride is attached on the metal portion. In addition, the thickness of the silicon nitride film formed on the substrate surface can be uniformed.
  • In addition, in deposition of silicon nitride, since a gas decomposing means such as a combination of mixing of ammonia or nitrogen, heating, production of a plasma, or excitation by a radiation beam is also used, the films can be further effectively formed.
  • This invention can be more fully understood from the following detailed description when taken in conjunction with the accompanying drawings, in which:
    • Fig. 1 is a schematic view showing a low-pressure CVD device for performing a method of manufacturing a silicon nitride film according to the first embodiment of the present invention; and
    • Fig. 2 is a schematic view showing a low-pressure CVD device for performing a method of manufacturing a silicon nitride film according to the second embodiment of the present invention.
  • An embodiment of the present invention will be described below. Fig. 1 is a schematic view showing a low-pressure CVD device for performing the first embodiment of the present invention. Referring to Fig. 1, reference numeral 1 denotes a vertical tubular furnace for constituting a reaction tube. Substrates, a plurality of semiconductor wafers 2 each having a diameter of 6˝ are arranged in the reaction tube, the pressure of which is decreased to a predetermined pressure. A heater 3 is mounted on the outer wall of the reaction tube to heat the inside of the reaction tube to a desired temperature. An exhaust port 4 coupled to a vacuum pump (not shown) for exhausting air from the furnace to decrease the pressure thereof is formed in the upper portion of the tubular furnace 1. A first gas supply tube 5 for supplying an organic compound gas containing silicon and an amine group, e.g., Si(N(CH₃)₂)₄, to the inside of the tubular furnace 1 and a second gas supply tube 6 for supplying ammonia (NH₃) to the inside of the tubular furnace 1 extend through the outer wall of the tubular furnace 1 such that one end of each of the supply tubes 5 and 6 is open to the inside of the tubular furnace 1. A baking tank 10 for supplying an organic compound gas is coupled to the other end of the first gas supply tube 5. The baking tank 10 is obtained such that a first valve 7 for opening/closing the gas flow path, a first gas flow rate controller for adjusting the gas flow rate, and a gas generator for generating the organic compound gas are coupled to the first gas supply tube 5 toward the upperstream of the supply tube 5. A second valve 11 for opening/closing a gas flow path, a second gas flow rate controller 12 for adjusting the gas flow rate, and a second gas source 13 for supplying ammonia are arranged to the other end of the second gas supply tube 6. Each of the plurality of semiconductor wafers 2 arranged in the tubular furnace 1 is horizontally held in each of a plurality of shelf portions of a quartz boat 14 provided in the furnace 1 so as to have a space for circulating a gas between the semiconductor wafers 2. The heater 3 is uniformly mounted on the outer wall of the tubular furnace 1 to keep the temperature of the outer wall constant and to minimize local differences in temperature in the tubular furnace 1. The intervals between the shelf portions for arranging the semiconductor wafers 2 are not necessarily equal to each other, and the semiconductor wafers 2 are preferably arranged according to the relationship between the concentration, volume, and temperature of a flowing gas. Although not shown or described, the temperature and pressure in the tubular furnace 1 are measured by a general-purpose means, i.e., a thermometer and a vacuum gauge, and a control means having an adjuster for adjusting the measurement value within a predetermined range in the furnace 1, thereby keeping the temperature and pressure in the furnace 1 at desired values.
  • A process for manufacturing a silicon nitride film on a semiconductor wafer in a method of manufacturing a silicon nitride film according to the present invention using the above arrangement will be described below. The plurality of semiconductor wafers 2 were horizontally set one by one on the shelf portions of the boat 14 arranged in the tubular furnace 1. That is, the wafers were set such that the wafer surfaces were perpendicular to a gas flow. The temperature in the tubular furnace 1 was kept at a temperature of 700°C using the heater 3 arranged on the outer wall of the furnace. The pressure in the furnace was kept at a pressure of 0.5 Torr (1 Torr = 133.3 Pa) by driving the vacuum pump (not shown), and an organic compound gas, i.e., a vapor of Si(N(CH₃)₂)₄ was generated from the gas generator 8 of the baking tank 10. The valve 7 was open while the gas flow rate was controlled by the first gas flow rate controller 9, thereby supplying the organic compound gas at a flow rate of 100 SCCM. At the same time, the second valve 11 was open while a gas flow rate was adjusted by the second gas flow rate controller 12 to supply ammonia from the second gas source 13 to the furnace at a flow rate of 1,000 SCCM. The temperature and pressure in the tubular furnace 1 were kept as described above, and the two types of gases were continuously supplied to the inside of the furnace for 60 minutes. The first and second valves were closed. Thereafter, the semiconductor wafers 2 were removed from the furnace. When a deposition state of a silicon nitride film on the surface of each of the removed semiconductor wafers 2 was checked, a silicon nitride film having a thickness of 600 Å was formed. The silicon nitride film was deposited on each wafer to have a uniform thickness, and the uniformity of the nitride films of the wafers at arbitrary positions in the same furnace was held within ±5%.
  • Upon completion of the film formation step, when deposits in the furnace and the tubes of the exhausting system was checked, no corrosive deposits could be detected.
  • The conditions of the above embodiment were changed, i.e., the temperature in the furnace was controlled within a range of 300°C to 1,000°C, and the pressure in the furnace was controlled within a range of 1 mTorr to 10 Torr. When silicon nitride films were formed under these conditions, uniform films could be obtained within the above ranges.
  • When SiH(N(CH₃)₂)₃, SiH₂(N(CH₃)₂)₂, or SiH₃(N(CH₃)₂) which do not contain chlorine as a constituant element were used as the organic compound gas in place of Si(N(CH₃)₂)4, a uniform film could be obtained as described above. In addition, when a silicon nitride film was formed using nitrogen in place of ammonia in the above embodiment by setting a time required for supplying the gas to the furnace to be longer than that of the above embodiment to prolong a time for keeping the gas in contact with the semiconductor wafer 2, films could be uniformly formed. When only the organic compound gas was used, although film formation required a long time, films could be uniformly formed.
  • Fig. 2 is a schematic view showing a low-pressure CVD device for performing the second embodiment of the present invention. The same reference numerals as in Fig. 1 denote the same parts in Fig. 2, and a detailed description thereof will be omitted. A pair of electrodes 15 are arranged on the inner wall of a tubular furnace 1 and are coupled to an AC power source (not shown).
  • With the above arrangement, a gas flowed in a furnace 1 while the pressure in the tubular furnace 1 was decreased, and a predetermined voltage was applied to the pair of electrodes 15. In this case, a plasma was produced from any one of Si(N(CH₃)₂)₄, SiH(N(CH₃)₂)₃, SiH₂(N(CH₃)₂)₂, and SiH₃(N(CH₃)₂) gases to be decomposed inside the tubular furnace. Uniform silicon nitride films could be effectively formed on the surfaces of the semiconductor wafers 2. Note that, since the plasma was produced from the gas, the reaction temperature was decreased to 300°C. Although the plasma was produced from the gas as a means for decomposing the gas, when an ultraviolet beam was radiated on the gas to excite and decompose the gas, same result as described above could be obtained. Other radiation beams may be used in place of the ultraviolet beam. The plasma may be produced from the gas or the gas may be excited by a radiation beam while a heating operation is performed.
  • As is apparent from the above description, according to the present invention, when a silicon nitride film is formed on a substrate surface, an organic compound gas which contains silicon and nitrogen but not containing chlorine as constituting elements is used, and the substrate and the gas are reacted at a low pressure. In this case, the following effects can be obtained. A silicon nitride film formed on each substrate surface can be uniformly distributed on the substrate to have a predetermined thickness. Differences in thicknesses of silicon nitride films on a plurality of substrate can be decreased. Since an unnecessary solid product is not produced and therefore is not attached on the silicon nitride film, an exposed metal portion such as a reaction vessel is not corroded.

Claims (3)

  1. A method of manufacturing a silicon nitride film on semiconductor substrates using a low-pressure CVD apparatus, comprising the steps of:
    setting a plurality of semiconductor wafers (2) in a boat (14) in a reaction furnace (1);
    increasing the temperature in said reaction tube (1) to a predetermined temperature and decreasing the pressure in said reaction tube (1) to a predetermined pressure; and
    supplying an organic compound gas containing silicon, nitrogen, hydrogen and carbon which organic compound gas contains an amine group, but no chlorine, from a first gas source (8) to said reaction tube and supplying NH₃ or N₂ gas from a second gas source (13) to said reaction tube (1) .
  2. The method according to claim 1, characterized in that the temperature and pressure in said reaction tube (1) are 700°C and 0.5 Torr, and flow rates of said organic compound gas and NH₃ or N₂ gas are 100 SCCM and 1,000 SCCM, respectively.
  3. The method according to claim 1, characterized in that the organic compound gas is selected from the group consisting of Si(N(CH₃)₂)4, SiH(N(CH₃)₂)3, SiH₂(N(CH₃)₂)2, and SiH₃(N(CH₃)₂) gases and in that a plasma is produced from said gas supplied to said reaction tube (1).
EP91110256A 1990-06-28 1991-06-21 Method of manufacturing silicon nitride film Expired - Lifetime EP0464515B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2171156A JP2637265B2 (en) 1990-06-28 1990-06-28 Method of forming silicon nitride film
JP171156/90 1990-06-28

Publications (3)

Publication Number Publication Date
EP0464515A2 EP0464515A2 (en) 1992-01-08
EP0464515A3 EP0464515A3 (en) 1992-05-06
EP0464515B1 true EP0464515B1 (en) 1995-11-08

Family

ID=15918030

Family Applications (1)

Application Number Title Priority Date Filing Date
EP91110256A Expired - Lifetime EP0464515B1 (en) 1990-06-28 1991-06-21 Method of manufacturing silicon nitride film

Country Status (5)

Country Link
US (1) US5234869A (en)
EP (1) EP0464515B1 (en)
JP (1) JP2637265B2 (en)
KR (1) KR930011414B1 (en)
DE (1) DE69114373T2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461950B2 (en) 1998-09-03 2002-10-08 Micron Technology, Inc. Semiconductor processing methods, semiconductor circuitry, and gate stacks
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8071167B2 (en) 2002-06-14 2011-12-06 Applied Materials, Inc. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178911A (en) * 1989-11-30 1993-01-12 The President And Fellows Of Harvard College Process for chemical vapor deposition of main group metal nitrides
ES2067410B1 (en) * 1993-06-10 1995-11-01 Univ Vigo SILICON NITRIDE COATINGS PRODUCED THROUGH A SILENT DISCHARGE EXCIMERA LAMP.
GB9622177D0 (en) * 1996-10-24 1996-12-18 Xaar Ltd Passivation of ink jet print heads
KR100244484B1 (en) * 1997-07-02 2000-02-01 김영환 Method for fabricating a semiconductor device
US5966624A (en) * 1997-07-29 1999-10-12 Siemens Aktiengesellschaft Method of manufacturing a semiconductor structure having a crystalline layer
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6458512B1 (en) 1998-10-13 2002-10-01 3M Innovative Properties Company Oxynitride encapsulated electroluminescent phosphor particles
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003166060A (en) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for manufacturing silicon nitride film, silicon oxynitride film, or silicon oxide film by cvd method
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4279176B2 (en) * 2004-03-02 2009-06-17 株式会社アルバック Method for forming silicon nitride film
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
JP2006120992A (en) * 2004-10-25 2006-05-11 C Bui Res:Kk Method for manufacturing silicon nitride film, and its manufacturing apparatus
JP2006261434A (en) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
EP2405724B1 (en) 2009-03-04 2014-06-04 Fujitsu Limited Printed circuit board module
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
CN103602961B (en) * 2013-11-26 2015-12-09 晶澳太阳能有限公司 A kind of film coating method with the crystal silicon solar energy battery of high shunting resistance
CN105765705B (en) * 2013-11-28 2019-09-03 Spp科技株式会社 Silicon nitride film and its manufacturing method and its manufacturing device
TWI706957B (en) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Catalyst dehydrogenative coupling of carbosilanes with ammonia, amnines and amidines
CN111118609A (en) * 2020-02-03 2020-05-08 深圳市拉普拉斯能源技术有限公司 Tube furnace structure for gas diffusion
US20230279545A1 (en) * 2022-03-04 2023-09-07 Entegris, Inc. Process for preparing silicon-rich silicon nitride films

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
JPS61117841A (en) * 1984-11-14 1986-06-05 Hitachi Ltd Formation of silicon nitride film
JPS61234534A (en) * 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd Fabrication of silicon nitride coating
JPS6414927A (en) * 1987-07-09 1989-01-19 Kojundo Kagaku Kenkyusho Kk Forming method of silicon nitride film or silicon oxynitride film
US4855258A (en) * 1987-10-22 1989-08-08 Ncr Corporation Native oxide reduction for sealing nitride deposition
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461950B2 (en) 1998-09-03 2002-10-08 Micron Technology, Inc. Semiconductor processing methods, semiconductor circuitry, and gate stacks
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US8071167B2 (en) 2002-06-14 2011-12-06 Applied Materials, Inc. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US8387557B2 (en) 2005-06-21 2013-03-05 Applied Materials Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8043907B2 (en) 2008-03-31 2011-10-25 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process

Also Published As

Publication number Publication date
KR930011414B1 (en) 1993-12-06
EP0464515A3 (en) 1992-05-06
US5234869A (en) 1993-08-10
DE69114373D1 (en) 1995-12-14
JP2637265B2 (en) 1997-08-06
DE69114373T2 (en) 1996-04-25
EP0464515A2 (en) 1992-01-08
JPH0459971A (en) 1992-02-26
KR920000967A (en) 1992-01-29

Similar Documents

Publication Publication Date Title
EP0464515B1 (en) Method of manufacturing silicon nitride film
US7964513B2 (en) Method to form ultra high quality silicon-containing compound layers
US7192626B2 (en) Methods for producing silicon nitride films and silicon oxynitride films by thermal chemical vapor deposition
US6825051B2 (en) Plasma etch resistant coating and process
JP3581388B2 (en) Deposited polysilicon film with improved uniformity and apparatus therefor
US7629267B2 (en) High stress nitride film and method for formation thereof
US5411590A (en) Gas injectors for reaction chambers in CVD systems
US4846102A (en) Reaction chambers for CVD systems
US20050011445A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US20060213539A1 (en) Method for cleaning thin-film forming apparatus
KR20060113879A (en) Method of forming silicon nitride film and system for carrying out the same
JP2002334869A (en) Method and device for forming silicon nitride film, and method for preprocessing of cleaning thereof
US5244694A (en) Apparatus for improving the reactant gas flow in a reaction chamber
US5261960A (en) Reaction chambers for CVD systems
US5096534A (en) Method for improving the reactant gas flow in a reaction chamber
KR900008970B1 (en) Process vapor growth of phosphosilicate glass coating
US5044315A (en) Apparatus for improving the reactant gas flow in a reaction chamber
JP2752235B2 (en) Semiconductor substrate manufacturing method
JP5197554B2 (en) Thin film forming apparatus cleaning method and thin film forming method
EP0867037A1 (en) Method of forming dielectric films with reduced metal contamination
JP2723053B2 (en) Method and apparatus for forming thin film
KR940010412B1 (en) Method of forming thin film
JPH11274088A (en) Manufacture of silicon thin film
JPH06204141A (en) Manufacture of silicon crystal film by thermal cvd
JPH086182B2 (en) Method for forming nitride film

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 19910621

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): DE FR GB

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): DE FR GB

17Q First examination report despatched

Effective date: 19940629

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): DE FR GB

REF Corresponds to:

Ref document number: 69114373

Country of ref document: DE

Date of ref document: 19951214

ET Fr: translation filed
PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed
PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: FR

Payment date: 19970610

Year of fee payment: 7

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 19970612

Year of fee payment: 7

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 19980621

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 19980621

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 19990226

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: DE

Payment date: 20100616

Year of fee payment: 20

REG Reference to a national code

Ref country code: DE

Ref legal event code: R071

Ref document number: 69114373

Country of ref document: DE

REG Reference to a national code

Ref country code: DE

Ref legal event code: R071

Ref document number: 69114373

Country of ref document: DE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF EXPIRATION OF PROTECTION

Effective date: 20110622