DE60125608T2 - Hängende Gasverteilungvorrichtung für Plasmakammer - Google Patents
Hängende Gasverteilungvorrichtung für Plasmakammer Download PDFInfo
- Publication number
- DE60125608T2 DE60125608T2 DE60125608T DE60125608T DE60125608T2 DE 60125608 T2 DE60125608 T2 DE 60125608T2 DE 60125608 T DE60125608 T DE 60125608T DE 60125608 T DE60125608 T DE 60125608T DE 60125608 T2 DE60125608 T2 DE 60125608T2
- Authority
- DE
- Germany
- Prior art keywords
- sidewall
- distribution plate
- segment
- gas distribution
- sheet
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000009826 distribution Methods 0.000 title claims description 86
- 238000000034 method Methods 0.000 claims description 45
- 239000000725 suspension Substances 0.000 claims description 40
- 229910052751 metal Inorganic materials 0.000 claims description 14
- 239000002184 metal Substances 0.000 claims description 14
- 238000005452 bending Methods 0.000 claims description 9
- 238000007789 sealing Methods 0.000 claims description 9
- 239000012530 fluid Substances 0.000 claims 2
- 238000004891 communication Methods 0.000 claims 1
- 239000007789 gas Substances 0.000 description 128
- 239000000758 substrate Substances 0.000 description 12
- 229910052782 aluminium Inorganic materials 0.000 description 11
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 11
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 9
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 230000008602 contraction Effects 0.000 description 8
- 125000006850 spacer group Chemical group 0.000 description 8
- 239000000203 mixture Substances 0.000 description 7
- 239000003566 sealing material Substances 0.000 description 6
- 239000011324 bead Substances 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000001816 cooling Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000009413 insulation Methods 0.000 description 3
- 230000003014 reinforcing effect Effects 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 238000003466 welding Methods 0.000 description 2
- 229920002449 FKM Polymers 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000004080 punching Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000006903 response to temperature Effects 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49428—Gas and water specific plumbing component making
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49826—Assembling or joining
Description
- Die Erfindung bezieht sich insgesamt auf Gasverteiler zum Zuführen von Gas zu einer Plasmakammer. Insbesondere bezieht sich die Erfindung auf einen Verteiler mit einer perforierten Gasverteilungsplatte, die durch flexible Seitenwände aufgehängt ist, die die Wärmeausdehnung der Platte aufnehmen.
- Elektronische Vorrichtungen, wie Flachbildschirme und integrierte Schaltungen, werden gewöhnlich in einer Reihe von Verfahrensschritten hergestellt, bei denen Schichten auf einem Substrat abgeschieden werden und das abgeschiedene Material zu gewünschten Mustern geätzt wird. Die Verfahrensschritte weisen üblicherweise plasmaverstärkte chemische Gasphasenabscheidungsprozesse (CVD = Chemical Vapor Deposition) und Plasmaätzprozesse auf.
- Plasmaprozesse erfordern die Zuführen einer Prozessgasmischung zu einer Vakuumkammer, die Plasmakammer genannt wird, und dann das Anlegen einer elektrischen oder elektromagnetischen Leistung, um das Prozessgas auf einen Plasmazustand anzuregen. Das Plasma zersetzt die Gasmischung in Ionenspezies, die den gewünschten Abscheidungs- oder Ätzprozess ausführen.
- In kapazitiv erregten CVD-Kammern wird das Plasma durch HF-Leistung erregt, die zwischen einer Anodenelektrode und einer Kathodenelektrode angelegt wird. Insgesamt ist das Substrat auf einem Sockel oder einem Suszeptor angebracht, der als Kathodenelektrode wirkt, während die Anodenelektrode in einer geringen Entfernung von dem Substrat und parallel zu ihm angebracht ist. Üblicherweise wirkt die Anodenelektrode auch als Gasverteilungsplatte zum Zuführen der Prozessgasmischung in die Kammer. Die Anodenelektrode ist mit Hunderten oder Tausenden von Öffnungen durchbrochen, durch welche die Prozessgasmischung in den Spalt zwischen der Anode und der Kathode strömt. Die Öffnungen sind über der Oberfläche der Gasverteilungsplatte so beabstandet, dass die räumliche Gleichförmigkeit der Prozessgasmischung angrenzend an das Substrat maximiert ist. Eine solche Gasverteilungsplatte, die auch Diffusorplatte oder "Duschkopf" genannt wird, ist in dem gemeinschaftlich übertragenen US-Patent 4,854,263, ausgegeben am 8.8.1998 für Chang et al., beschrieben.
- Perforierte Gasverteilungsplatten sind gewöhnlich starr an dem Deckel oder an der oberen Wand der Plasmakammer angebracht. Die starre Montage hat den Nachteil, dass die Wärmeausdehnung der perforierten Platte, wenn sie Wärme von dem Plasma aufnimmt, nicht ausgeglichen wird. Die daraus folgenden mechanischen Spannungen an der Platte können zum Verwinden oder Reißen der Platte führen. Eine Milderung der mechanischen Spannung ist besonders wichtig bei größeren Verteilungsplatten, die für die Behandlung von größeren Werkstücken benötigt werden, beispielsweise für große Flachbildschirme. Es besteht deshalb ein Bedürfnis für eine Gasverteilungsvorrichtung, die solche thermisch induzierten mechanischen Spannungen minimiert.
- Ein weiterer Nachteil von herkömmlichen Gasverteilungsplatten besteht darin, dass sie im Allgemeinen während des CVD-Prozesses kalt bleiben und somit zu einem unerwünschten Wärmeverlust von der Oberfläche des Substrats beitragen. Insbesondere sind herkömmliche Gasverteilungsplatten im Allgemeinen direkt mit einem Kammerdeckel oder einer Seitenwand verbolzt, die eine hohe thermische Masse und eine hohe Wärmeleitfähigkeit hat, so dass der Deckel oder die Seitenwand als Wärmesenke wirkt und Wärme von der Verteilungsplatte abzieht. Deshalb halten herkömmliche Auslegungen gewöhnlich die Wärmeverteilungsplatte auf einer unerwünscht niedrigen Temperatur.
- Die
US 5,882,411 zeigt einen Duschkopf mit einem Umfangsflansch, der Nuten aufweist. Diese versetzen den Flansch in die Lage, sich wie ein Balg auszudehnen und zusammenzuziehen, um eine Wärmeausdehnung des Duschkopfs zu erlauben. - Die Erfindung besteht aus einem Gaseinlassverteiler für eine Plasmakammer, wie er im Anspruch 1 definiert ist. Der Verteiler hat eine perforierte Gasverteilungsplatte, die durch eine flexible Seitenwand aufgehängt ist, die die Wärmeausdehnung und Wärmekontraktion der Gasverteilungsplatte aufnimmt. Die Erfindung hat den Vorteil, dass eine Verwindung oder ein Reißen der Gasverteilungsplatte ansprechend auf eine solche Wärmeausdehnung oder Wärmekontraktion vermieden wird. Die Erfindung besteht auch in einem Verfahren, wie es im Anspruch 11 definiert ist.
- Bei einer bevorzugten Ausführungsform hat die flexible Seitenwand eine Vielzahl von Segmenten, die durch kleine Spalte getrennt sind, während der Verteiler einen neuen Dichtungsflansch hat, der die Gasleckage durch die Spalte minimiert, obwohl eine Bewegung der flexiblen Seitenwandsegmente gewährleistet ist.
- Es folgt eine Beschreibung spezieller Ausführungsformen der Erfindung unter Bezug auf die beiliegenden Zeichnungen, in denen
-
1 eine teilweise schematische, geschnittene Seitenansicht einer Plasmakammer ist, die den Gaseinlassverteiler der vorliegenden Erfindung aufweist, -
2 eine auseinandergezogene perspektivische Teilansicht einer Ecke des Gaseinlassverteilers ist, -
3 eine Querschnittsansicht der Ecke des Gaseinlassverteilers ist, -
4 eine vertikale Schnittansicht einer Seite des Gaseinlassverteilers ist, -
5 eine vertikale Schnittansicht einer Ecke des Gaseinlassverteilers ist, -
6 eine auseinandergezogene Ansicht der in2 gezeigten Ecke ist, -
7 eine Draufsicht auf eine alternative Eckenverbindung oder Koppelung vor ihrer Faltung ist, -
8 eine auseinandergezogene Ansicht einer Ecke mit der alternativen Koppelung von7 ist, -
9 eine Ansicht ähnlich wie4 ist und eine alternative Ausführung zeigt, die einen Gaseinlassverteiler hat, bei welchem ein Teil des oberen Flansches der flexiblen Seitenwand dem Atmosphärendruck ausgesetzt ist, -
10 eine Einzelheit von9 ist, -
11 in einer zu2 ähnlichen Ansicht die alternative Ausgestaltung von9 zeigt, -
12 eine Ansicht ähnlich wie10 ist und ein elektrisches Kabel zeigt, das direkt mit dem oberen Flansch der Seitenwand des Gaseinlassverteilers verbunden ist, und -
13 eine teilweise auseinandergezogene perspektivische Ansicht einer Ecke einer alternativen Gaseinlassverteilung ist, bei der die flexiblen Seitenwände an den Ecken anstoßen und die Eckverbindungen weggelassen sind. - Übersicht über die Plasmakammer
-
1 zeigt eine Plasmakammer mit einem erfindungsgemäßen Gaseinlassverteiler20 bis32 , der auch Gasverteiler oder Zwischenkammer genannt wird. Die gezeigte Kammer ist in der Lage, plasmagestützte Prozesse auszuführen, wie eine chemische Gasphasenabscheidung (CVD) oder ein Ätzen eines großen Substrats. Sie ist insbesondere zur Ausführung von CVD-Prozessen zur Herstellung der elektronischen Schaltung eines Flachbildschirms auf einem Glassubstrat geeignet. - Die Plasmakammer oder Vakuumkammer hat ein Gehäuse oder eine Wand
10 , die vorzugsweise aus Aluminium besteht und das Innere der Kammer umschließt. Die Kammerwand10 bildet den Vakuumeinschluss für die Seite und einen Großteil des Bodens des Kammerinneren. Als Kathodenelektrode wirkt ein Metallsockel oder -suszeptor12 , der eine flache Oberfläche hat, die ein Werkstück oder Substrat14 trägt. Alternativ braucht das Substrat den Suszeptor nicht direkt zu berühren, sondern kann etwas über der oberen Fläche des Suszeptors gehalten werden, beispielsweise durch eine Vielzahl von nicht gezeigten Hubstiften. - Eine nicht gezeigte externe Gaszuführung liefert ein oder mehrere Prozessgase in die Prozesskammer. Die Kammer hat insbesondere einen Gaseinlassverteiler oder eine Zwischenkammer
20 bis32 (im Einzelnen nachstehend beschrieben), die einen Bereich umschließt, auf den als Verteilerinnenraum Bezug genommen wird. Eine Gasleitung, die sich von einer externen Gasversorgung zu einer Gaseinlassöffnung30 in einer Außen- oder Rückwand28 des Gaseinlassverteilers erstreckt, führt die Prozessgase in den Verteilerinnenraum. Die Gase strömen dann aus dem Verteiler durch Hunderte oder Tausende von Öffnungen22 in der Gasverteilungsplatte oder Diffusorplatte20 aus und treten so in den Bereich des Kammerinneren zwischen der Gasverteilungsplatte und dem Suszeptor12 ein. - Eine nicht gezeigte herkömmliche Vakuumpumpe hält ein gewünschtes Vakuumniveau innerhalb der Kammer aufrecht und zieht Prozessgase und Reaktionsprodukte aus der Kammer durch einen ringförmigen Abführschlitz
42 , von da in einen ringförmige Abführkammer44 und dann durch einen nicht gezeigten Auslasskanal zu der Pumpe hin ab. - Die Gasverteilungsplatte oder die Diffusorplatte
20 setzt sich aus einem elektrisch leitenden Material, vorzugsweise Aluminium, zusammen, so dass sie als eine Anodenelektrode wirken kann. Zwischen die Gasverteilungsplatte und die elektrisch geerdeten Kammerbauteile ist eine HF-Leistungsversorgung geschaltet. Eine typische Frequenz für die HF-Leistungsversorgung ist 13 MHz. Da die Gasverteilungsplatte20 durch HF heiß ist, ist sie von dem Deckel durch ringförmige dielektrische Distanzstücke34 ,35 ,36 elektrisch isoliert. Die Kammerseiten- und -bodenwand10 und der Deckel18 sind mit elektrischer Masse verbunden. Der Suszeptor oder der Werkstückhaltesockel12 ist gewöhnlich ebenfalls geerdet, kann jedoch fakultativ an eine zweite HF-Leistungsversorgung angeschlossen sein, die gewöhnlich als Vorspann-Leistungsversorgung bezeichnet wird. - Die zwischen der Kathodenelektrode (dem Suszeptor
12 ) und der Anodenelektrode (der Gasverteilungsplatte20 ) angelegte HF-Leistung erzeugt ein elektromagnetisches Feld in dem Bereich zwischen zwei Elektroden, welches die Gase in diesem Bereich zu einem Plasmazustand erregt. Das Plasma erzeugt reaktive Spezies aus dem Prozessgasgemisch, die mit freigesetztem Material auf dem Werkstück reagieren und die gewünschte Abscheidung oder den Ätzprozess ausführen. - Um das Plasma in dem Bereich der Kammer zwischen dem Werkstück
14 und der Gasverteilungsplatte20 zu konzentrieren, werden andere Metalloberflächen in der Kammer, die sich in der Nähe der Verteilungsplatte befinden, vorzugsweise mit dielektrischen Auskleidungen bedeckt. Insbesondere ist mit der Unterseite des Deckels18 eine dielektrische Auskleidung37 verbolzt, während eine dielektrische Auskleidung38 die Kammerseitenwand10 abdeckt. Zur Verhinderung einer Plasmabildung und zur Minimierung einer HF-Leistungsleitung wird der ringförmige Spalt zwischen dem Gaseinlassverteiler und dem Deckel von einer dielektrischen Auskleidung41 besetzt. - Auf der Kammerseitenwand
10 sitzt ein abnehmbarer Deckel18 so, dass er als zusätzlicher Teil der Kammerwand wirkt. Der Gaseinlassverteiler20 bis32 sitzt auf einem ringförmigen, sich nach innen erstreckenden Sims des Deckels. An die Oberseite des Deckels10 ist eine Abdeckung16 angeklemmt. Der einzige Zweck der Abdeckung besteht darin, Personen vor einem zufälligen Kontakt mit den Teilen des Gaseinlassverteilers zu schützen, die HF-heiß sind, was nachstehend beschrieben wird. - Die Kammerbauelemente sollten aus Materialien bestehen, welche die in der Kammer auszuführenden Halbleiterherstellungsprozesse nicht verunreinigen und die gegenüber den Prozessgasen korrosionsfest sind. Für alle Bauelemente mit Ausnahme der dielektrischen Distanzstücke und der Auskleidungen
34 bis41 sowie der O-Ringe45 bis48 ist das bevorzugte Material Aluminium. - Alle Teile der Plasmakammer mit Ausnahme des Gaseinlassverteilers sind konventionell. Der Aufbau und die Funktion von herkömmlichen Plasma-CVD- und Ätzkammern sind in dem US-Patent 5,844,205 und in dem US-Patent 4,854,263 beschrieben.
- Gaseinlassverteiler
-
2 bis4 zeigen den Gaseinlassverteiler oder die Zwischenkammer im Einzelnen. Der Gaseinlassverteiler hat einen Innenraumbereich, der am Boden von der Gasverteilungs- oder Diffusorplatte20 , an den Seiten von der flexiblen Seitenwand oder Aufhängung24 und auf der Oberseite von der Außenwand oder Rückwand28 begrenzt ist. (Der dreieckige Eckpfosten28 , der in2 und3 gezeigt ist, wird später beschrieben.) - Bei den gezeigten Ausführungsformen ist die Gasverteilungsplatte
20 eine Aluminiumplatte, die 3 cm dick ist. Sie sollte vorzugsweise dick genug sein, da sie unter Atmosphärendruck, wenn ein Vakuum in der Kammer erzeugt wird, nicht merklich verformt wird. - Bei unserer neuen Konstruktion des Gaseinlassverteilers ist die Gasverteilungsplatte
20 durch eine dünne flexible Seitenwand oder Aufhängung24 so aufgehängt, dass die Aufhängung das gesamte Gewicht der Gasverteilungsplatte trägt. Wie in dem nachstehenden Abschnitt mit dem Titel "Aufnahme der thermisch induzierten mechanischen Expansion/Kontraktion" erläu tert ist, ist die Aufhängung flexibel, um eine Spannung an der Gasverteilungsplatte ansprechend auf ihre Wärmeausdehnung und -kontraktion zu minimieren. Das obere Ende der flexiblen Seitenwand hat eine obere Lippe26 , die direkt oder indirekt an der Kammerwand10 angebracht und von ihr gehalten wird. "Indirekt" bedeutet bei der Anbringung und Halterung, dass das obere Ende der Aufhängung der Kammer über Zwischenbauelemente gehalten werden kann, die zwischen der oberen Lippe26 und der Kammerwand10 angeordnet sind, beispielsweise die Rückwand28 und der Deckel18 bei der Ausführungsform von1 . - Die Rückwand
28 des Gaseinlassverteilers ist so angebracht, dass sie an dem oberen Ende26 der Aufhängung anliegt, so dass die Rückwand die obere Grenze oder Umhüllung des Innenraumbereichs des Gaseinlassverteilers bildet. - Bei den gezeigten Ausführungsformen mit einer rechteckigen Gasverteilungsplatte
20 besteht die flexible Seitenwand oder Aufhängung24 vorzugsweise aus vier gesonderten Stücken aus dünnem, flexiblem Metallblech, von denen sich jeweils eines auf jeder der vier Seiten der Gasverteilungsplatte befindet. Die vier Stücke oder Seiten der Seitenwand oder der Aufhängung24 umschließen zusammengenommen den Innenraum des Gaseinlassverteilers. - Die Öffnungen
22 in der Gasverteilungsplatte sollten einen Durchmesser haben, der kleiner ist als die Breite des Plasmadunkelraums, um zu verhindern, dass Plasma in der Plasmakammer in den Bereich eintritt, der von dem Gaseinlassverteiler umschlossen ist, d.h. in den Bereich zwischen der Gasverteilungsplatte20 und der Rückwand28 . Die Breite des Dunkelraums und somit der optimale Durchmesser der Öffnungen hängen von dem Kammerdruck und anderen Parametern der spezifischen Halbleiterherstellungsprozesse ab, die in der Kammer ausgeführt werden sollen. Alternativ kann es zur Ausführung von Plasmaprozessen unter Verwendung von Reaktionsgasen, deren Dissoziation besonders schwierig ist, erwünscht sein, Öffnungen zu verwenden, die einen schmalen Einlass und einen breiteren, sich erweiternden Auslass haben, wie sie in dem vorstehend erwähnten US-Patent 4,854,263 für Chang et al. beschrieben sind. - Der Gaseinlassverteiler hat vorzugsweise auch einen Gaseinlassdeflektor, der aus einer Kreisscheibe
32 besteht, die einen Durchmesser hat, der etwas größer ist als der der Gaseinlassöffnung30 , und die unter der Öffnung durch nicht gezeigte Pfosten aufgehängt ist. Der Deflektor versperrt das Strömen von Gasen auf einem geraden Weg von dem Gaseinlass30 zu den di rekt angrenzenden Löchern22 in der Mitte des Gasverteilungsplatte und unterstützt dadurch die Gleichmachung der jeweiligen Gasdurchsätze durch die Mitte und den Umfang der Gasverteilungsplatte. - Ausführungsform 1 – die Rückwand bildet eine Vakuumdichtung
- Bei den in
1 bis8 gezeigten Ausführungsformen ist die obere Fläche der Rückwand28 das einzige Bauelement des Gaseinlassverteilers, das dem Umgebungsatmosphärendruck ausgesetzt ist, so dass die Rückwand das einzige Bauelement des Gaseinlassverteilers ist, das eine Vakuumdichtung benötigt. Insbesondere wird eine Vakuumdichtung zwischen dem Kammerinnenraum und der Umgebungsatmosphäre außerhalb der Kammer durch ein erstes Vakuumabdichtmaterial45 zwischen der Rückwand28 und dem dielektrischen Distanzstück34 und durch ein zweites Vakuumabdichtungsmaterial46 zwischen dem dielektrischen Distanzstück34 und der Oberfläche der Kammerwand vorgesehen. Bei den gezeigten Ausführungsformen ist die letztere Oberfläche die Oberfläche des Deckels18 , an dem das dielektrische Distanzstück sitzt. Da die gezeigten Ausführungsformen einen abnehmbaren Deckel18 aufweisen, ist ein zusätzliches Vakuumabdichtungsmaterial48 zwischen dem Deckel und der Kammerseitenwand10 erforderlich. Die Dichtungsmaterialien45 ,46 und48 sind vorzugsweise O-Ringe. - Bei dieser Ausführungsform ist eine gasdichte Dichtung zwischen der Rückwand
28 und der oberen Lippe26 der flexiblen Seitenwände24 nicht erforderlich. Die einzige Folge bei einem Gasleck bei dieser Verbindung wäre, dass eine geringe Menge an Prozessgas in den Kammerinnenraum durch das Leck statt durch die Öffnungen22 in der Gasverteilungsplatte20 eintreten würde. Demzufolge ist bei der gezeigten bevorzugten Ausführungsform zwischen der Rückwand28 und der oberen Lippe26 der flexiblen Seitenwand kein O-Ring vorhanden. Die obere Lippe26 ist mit der Rückwand28 durch eine Vielzahl von im Abstand um den Rand der Rückwand herum angeordneten Schraubenbolzen72 (siehe4 ) verschraubt. - Da die Einlassverteiler-Seitenwände
24 aus Metall bestehen, können sie für einen guten elektrischen HF-Kontakt zwischen der Gasverteilungsplatte20 und der Rückwand28 sorgen. Deshalb kann das elektrische Kabel, das die Gasverteilungsplatte mit der HF-Leistungsversorgung verbindet, direkt an der Außenfläche der Rückwand anstatt an der Verteilungsplatte befestigt werden. Das Befestigen des HF-Kabels direkt an der Gasverteilungsplatte wäre uner wünscht, weil dadurch das HF-Verbindungsstück dem potenziell korrosiven Prozessgasgemisch ausgesetzt wäre. Die Schraubenbolzen72 tragen dazu bei, einen guten elektrischen HF-Kontakt zwischen der oberen Lippe26 der flexiblen Seitenwände24 zu gewährleisten, während Verschweißungen56 die Gewährleistung eines guten elektrischen HF-Kontakts zwischen der unteren Lippe54 der Seitenwände und der Gasverteilungsplatte unterstützen. - Ausführungsform 2 – der obere Flansch der Seitenwand sorgt ebenfalls für eine Vakuumabdichtung
- Bei der in den
9 bis11 gezeigten alternativen Ausführungsform ist der obere Flansch70 der flexiblen Seitenwand oder Aufhängung24 des Gaseinlassverteilers der äußeren Umgebungsatmosphäre teilweise ausgesetzt. Dies bildet einen Gegensatz zu den Ausführungsformen der1 bis8 , bei denen die gesamte Aufhängung24 einschließlich der oberen Lippe26 vollständig von dem Umfang der Rückwand28 des Gaseinlassverteilers umschlossen ist. Demzufolge muss bei der Ausführungsform von9 bis11 der obere Flansch70 der flexiblen Seitenwand zur Vakuumabdichtung zwischen dem Kammerinnenraum und der äußeren Umgebungsatmosphäre beitragen, was einen O-Ring mehr als bei den früheren Ausführungsformen erfordert. - Wie bei den vorherigen Ausführungsformen sind zwei O-Ringe
45 ,46 oder ein anderes Dichtungsmaterial auf jeder Seite des dielektrischen Distanzstücks34 erforderlich, d.h. ein erster O-Ring45 zwischen dem dielektrischen Distanzstück und dem oberen Flansch70 der flexiblen Seitenwand24 und ein zweiter O-Ring46 zwischen dem elektrischen Distanzstück und dem Deckel18 . Im Gegensatz zu den vorherigen Ausführungsformen erfordert die vorliegende Ausführungsform zusätzlich einen dritten O-Ring37 oder ein anderes Dichtungsmaterial zwischen dem oberen Flansch70 und der Rückwand28 . - Um eine Vakuumdichtung zwischen dem oberen Flansch
70 und der Rückwand28 zu bewirken, muss der Teil des oberen Flansches70 , der in Kontakt mit dem dritten O-Ring47 steht, kontinuierlich und ohne Unterbrechung um den vollständigen Kreis des O-Rings (siehe11 ) herum verlaufen, während sich bei den vorherigen Ausführungsformen im Gegensatz dazu die obere Lippe26 nicht um irgendeine der vier Ecken des Gaseinlassverteilers erstreckt. - Es besteht keine Notwendigkeit, dass die flexible Seitenwand oder Aufhängung
24 durchgehend und ohne Unterbrechung ausgebildet ist, da sie nicht Teil der Vakuumdichtung zwischen dem Kammerinnenraum und der äußeren Umgebungsatmosphäre ist. Deshalb kann sie aus vier gesonderten Stücken wie bei den vorherigen Ausführungsformen bestehen. - Eine Vielzahl von Bolzen
72 , die um den Rand der Rückwand28 herum im Abstand angeordnet sind, befestigt den oberen Flansch70 der Aufhängung24 an der Rückwand. - Der obere Flansch
70 ist vorzugsweise als rechteckiger Rahmen mit einer offenen Mitte geformt. Er kann dadurch hergestellt werden, dass die offene Mitte aus einer rechteckigen Platte ausgeschnitten oder ausgestanzt wird. Der obere Flansch70 dieser Ausführungsform ersetzt die vier verstärkenden Stäbe27 der vorhergehenden Ausführungsformen. Der obere Flansch70 sollte vorzugsweise ein glatte, flache obere Fläche haben, die an der Rückwand28 anliegt. Um zu verhindern, dass die obere Lippe26 der Aufhängung24 über die Ebene dieser oberen Fläche vorsteht, wird die obere Lippe26 vorzugsweise (beispielsweise durch eine Verschweißung57 ) an dem oberen Flansch70 an einem Sims befestigt, der unter der oberen Fläche des Flansches ausgespart ist. - Wie bei den vorstehend erörterten Ausführungsformen von
1 bis8 wird bei unserer bevorzugten Ausgestaltung nach9 bis11 das HF-Kabel vorzugsweise direkt mit der oberen Fläche der Rückwand28 verbunden. Die Schraubenbolzen27 drücken den oberen Flansch70 der Aufhängung24 gegen die Rückwand28 und tragen dadurch dazu bei, einen guten elektrischen HF-Kontakt zwischen der Rückwand und der Aufhängung zu gewährleisten. Ein bedeutender Vorteil der vorliegenden Ausführungsform gegenüber den Ausführungsformen von1 bis8 besteht darin, dass die Schraubenbolzen72 radial außerhalb des O-Rings47 angeordnet werden können. Demzufolge schützt der O-Ring47 die Schraubenbolzen72 und, was besonders wichtig ist, die angrenzenden Bereiche des elektrischen Kontakts zwischen der Rückwand28 und dem oberen Flansch70 der Aufhängung davor, dass sie den korrosiven Prozessgasen und dem Plasma in der Kammer ausgesetzt werden, was schließlich den elektrischen Kontakt verschlechtern könnte. - Im Gegensatz zu den Ausführungsformen von
1 bis8 lässt die Ausführungsform von9 bis11 den radial äußeren Teil des oberen Flansches70 ohne Abdeckung durch die Rückwand28 . Deshalb ist es bei dieser Ausführungsform möglich, das elektrische Kabel74 von der HF-Leistungsversorgung direkt mit dem oberen Flansch70 an einer Fläche radial außerhalb des Umfangs der Rückwand28 anzuschließen, wie es in12 gezeigt ist. Bei dieser alternativen Ausführung besteht, da das elektrische Kabel nicht an die Rückwand angeschlossen ist, keine Notwendigkeit, einen elektrischen Kontakt mit niedriger Impedanz zwischen der Seitewand24 und der Rückwand zu gewährleisten. Bei der Ausführungsform von12 ist der obere Flansch70 vorzugsweise mechanisch an der Rückwand28 unter Verwendung der gleichen Schraubenbolzen72 wie bei der Ausführungsform von9 bis11 montiert, obwohl in12 die Schraubenbolzen nicht gezeigt sind. - Aufnahme der thermisch induzierten Ausdehnung/Kontraktion
- Eine neue und wertvolle Funktion der flexiblen Seitenwand oder Aufhängung
24 unseres Einlassverteilers besteht darin, dass er mechanische Spannungen minimiert, die die Gasverteilungsplatte oder den Diffusor20 verwinden oder reißen lassen könnte, wenn der Diffusor einer Wärmeausdehnung und -kontraktion unterliegt. (Auf die Gasverteilungsplatte wird zur Abkürzung als Diffusor Bezug genommen.) Die Größe, mit der sich der Diffusor20 ausdehnt, ist sowohl zu seiner Größe als auch seiner Temperatur proportional. Deshalb ist eine Minderung der mechanischen Spannung besonders wichtig bei größeren Diffusoren, die zur Behandlung von größeren Werkstücken, wie großen Flachbildschirmen, erforderlich sind. Bei unserem Prototyp war die Breite des Diffusors 300 mm × 350 mm. Aus den nachstehend beschriebenen Gründen möchte man den Diffusor während der Ausführung eines CVD-Prozesses auf 250° bis 325°C halten. Es zeigt sich, dass bei solchen Temperaturen ein Aluminiumdiffusor sich um etwa ein Prozent in jeder Dimension ausdehnt, d.h. die Breite des beispielsweisen Diffusors von 300 mm × 350 mm expandiert um etwa 3 mm. - Wenn sich die Breite des Diffusors
20 ansprechend auf Temperaturänderungen während des Normalbetriebs der Kammer ausdehnt und zusammenzieht, wird die flexible Seitenwand oder Aufhängung24 zwangsweise um den gleichen Betrag gebogen. Die Seitenwand sollte flexibel genug sein, um sich um diese Größe ohne wesentliche Kraft zu biegen. Insbesondere sollte die Biegekraft zwischen dem Diffusor und der Seitenwand gering genug sein, um ein Reißen oder Verwinden des Diffusors zu vermeiden. Insbesondere sollte die Biegekraft niedrig genug sein, um ein Verwinden der Form des Diffusors um mehr als 0,1 mm = 100 μm, bevorzugt um nicht mehr als 0,025 mm = 25 μm, und besonders bevorzugt von nicht mehr als 0,01 mm = 10 μm zu verhindern. Besonders wichtig ist es, mehr als diese Verformungsgröße der Eben heit oder Kontur der Oberfläche des Diffusors zu vermeiden, die dem Substrat14 zugewandt ist. - Bei der erfolgreich geprüften Ausführungsform gemäß
1 war unsere Einlassverteileraufhängung oder Seitenwand24 ein Aluminiumblech mit einer Dicke von 1 mm und einer Höhe von 50 mm. - Obwohl es das Einfachste ist, die flexible Seitenwand oder Aufhängung
24 vollständig aus flexiblem Aluminiumblech zu bauen, so dass die Seitenwand auf ihrer ganzen Höhe flexibel ist, ist dies nicht erforderlich. Es genügt für die Aufhängung, wenigstens einen flexiblen Teil irgendwo zwischen dem oberen Ende26 und dem unteren Ende54 vorzusehen. - Auslegungsparameter, welche die Biegungskraft verringern, sind (1) das Auswählen eines flexibleren Materials für den flexiblen Teil der Aufhängung, (2) das Verringern der Dicke des flexiblen Teils und (3) das Erhöhen der Länge (d.h. der Höhe) des flexiblen Teils. Länge oder Höhe bedeutet die Abmessung des flexiblen Teils der Seitenwand längs der Richtung senkrecht zur Ebene des Diffusors.
- Wie vorstehend erwähnt, dehnt sich unser Diffusor von 300 mm × 350 mm ansprechend auf die Erwärmung während des Betriebs der Kammer in der Breite um ein Prozent oder 3 mm aus. Deshalb wurde jede der vier Seitenwände um die Hälfte dieses Betrags, also 1,5 mm, seitlich gebogen. Der Winkel, mit dem sich jede Seitenwand biegt, ist die seitliche Auslenkung der Seitenwand geteilt durch die Höhe der Seitenwand, was bei diesem Beispiel 1,5 mm/50 mm = 0,03 Radians = 1,7 Grad ergibt. Deshalb sollte bei unserem Beispiel die Seitenwand oder Aufhängung
24 flexibel genug (d.h. ausreichend dünn und lang) sein, um sich wenigstens 1,7 Grad zu biegen, ohne eine wesentliche Kraft auf den Diffusor auszuüben. Wie vorstehend erwähnt, sollte eine solche Biegekraft vorzugsweise die Form des Diffusors nicht um mehr als 10 oder 25 μm verformen. - Bei der gezeigten bevorzugten Ausführungsform sind das Substrat
14 und der Diffusor20 rechteckig. Obwohl die flexible Seitenwand24 ein einziger, nicht unterbrochener Ring mit einem rechteckigen Querschnitt sein kann, wird eine durchgehende Auslegung nicht bevorzugt, da eine thermisch induzierte mechanische Expansion und Kontraktion des Diffusors eine übermäßige Spannung an den Ecken der Seitenwand24 erzeugen würden. Unsere bevorzugte Auslegung zur Vermeidung einer solchen Spannung besteht darin, die flexible Seitenwand in vier Segmente oder Stücke zu unterteilen, nämlich jeweils eines für jede Seite des rechteckigen Diffusors, und an jeder Ecke eine neue Ausdehnungsverbindung bereitzustellen, die es nur einer vernachlässigbaren Gasmenge ermöglicht, als Leckstrom an der Verbindung auszutreten. - Insbesondere besteht die Einlassverteiler-Seitenwand oder -aufhängung
24 vorzugsweise aus vier gesonderten Teilen aus dünnem, flexiblem Aluminiumblech, die jeweils an den vier Seiten des rechteckigen Einlassverteilers angeordnet sind (siehe2 und3 ). Jede der vier Seiten24 wird vorzugsweise von einem flachen, rechteckigen Metallblechstück gebildet, dessen oberes Ende um 90° gebogen ist, um eine sich nach außen erstreckende obere Lippe26 zu bilden, und dessen unteres Ende um 90 Grad gebogen ist, um einen sich nach innen erstreckenden unteren Flansch54 zu bilden (siehe4 ). Der untere Flansch ist vorzugsweise an dem Diffusor20 befestigt, indem er in eine Nut in dem Diffusor eingeführt und dann durch einen Schweißwulst54 verstärkt wird. - Jede der vier Lippen
26 ist durch einen starren Stab27 , vorzugsweise einen 5 nun Aluminiumstab, verstärkt. Jeder verstärkende Stab27 ist an der Unterseite der Rückwand28 verbolzt, und die entsprechende obere Lippe26 ist sandwichartig zwischen dem verstärkenden Stab und der Rückwand angeordnet, wodurch die obere Lippe an die Rückwand geklemmt wird. - Zur Befestigung des Diffusors an den Einlassverteiler-Seitenwandstücken
24 erstreckt sich eine Nut fast über die gesamte Breite einer jeden der vier Seiten des Diffusors (2 ). Jedes der vier Seitenwandstücke24 hat eine rechtwinklige Abbiegung an seinem unteren Ende, und der sich nach innen erstreckende Teil54 unter der Abbiegung bildet einen unteren Halteflansch, der in eine entsprechende Nut des Diffusors (4 ) passt. Mit dem unteren Halteflansch54 und dem Diffusor20 werden für ihre Befestigung aneinander ein oder mehrere Schweißwulste56 verschweißt. - Da bei der bevorzugten Ausführungsform die Einlassverteiler-Seitenwand
24 in Form von vier gesonderten Segmenten oder Stücken ausgebildet ist, treffen zwei benachbarte Seitenwandstücke in der Nähe jeder der vier Ecken des Diffusors aufeinander. In jeder Ecke sollte eine Verbindung oder Abdichtung zwischen den Rändern benachbarter Seitenwandstücke24 vorgesehen werden, so dass Prozessgas nicht übermäßig im Leckstrom von dem Einlassver teiler in die Kammer an der Verbindung gelangt. Um den Vorteil unserer flexiblen Einlassverteiler-Seitenwand hinsichtlich der Aufnahme der Wärmeausdehnung des Diffusors beizubehalten, sollte die Verbindung das Biegen der Einlassverteiler-Seitenwand aufnehmen, wenn sich der Diffusor ausdehnt und zusammenzieht. -
2 ,3 und6 zeigen unsere bevorzugte Verbindung an jeder der vier Ecken des Diffusors. Beide Enden60 eines jeden der vier Seitenwandstücke24 sind nach innen in einem Winkel von 45 Grad so gebogen, dass an einer vorgegebenen Ecke die jeweiligen Enden von zwei benachbarten Seitenwandstücken24 koplanar sind. Durch eine geschlitzte Abdeckung oder Verbindungseinrichtung62 ,64 , die über die beiden Enden60 gesteckt wird, wird eine moderat gasdichte Abdichtung zwischen den benachbarten Enden60 erreicht. Die Verbindungseinrichtung wird so hergestellt, dass zwei Teile aus Aluminiumblech längs einer vertikalen Mittelnaht verschweißt werden und dass eine Verbindungsstück62 so gebogen wird, dass es einen Schlitz zwischen sich und dem äußeren Verbindungsstück64 bildet. Das geschlitzte Verbindungsstück wird so installiert, dass es über die beiden Enden60 so gesteckt wird, dass die Naht der Verbindungseinrichtung in etwa in dem Spalt zwischen den beiden Enden60 zentriert wird, wodurch jedes Ende60 im Gleitsitz in den entsprechenden der beiden Schlitze der Verbindungseinrichtung passt. Der Schlitz ist so bemessen, dass er um das Ende60 mit einem ausreichenden Spiel passt, so dass er von dem Einlassverteiler zur Kammer eine Gasleckstrommenge zulässt, die nicht mehr als ein kleiner Bruchteil des beabsichtigten Gasstroms durch die Durchbrechungen22 ist. Unabhängig davon ist der Schlitz groß genug bemessen, um eine Radialbewegung der Enden60 zu ermöglichen, wenn sich der Diffusor ausdehnt und zusammenzieht. -
7 und8 zeigen eine alternative Ausgestaltung für die geschlitzte Abdeckung oder Verbindungseinrichtung, die aus einem einzigen rechteckigen Metallblechstück66 besteht. Wie in7 gezeigt ist, ist ein Paar von rechteckigen Einkerbungen so ausgeschnitten, dass nur eine dünne Brücke68 zwischen den beiden Hälften der Verbindungseinrichtung66 verbleibt. Die Verbindungseinrichtung66 wird an der Brücke, wie in8 gezeigt, halb umgefaltet. Die Breite W der Brücke68 ist schmal genug, um zwischen die zwei Enden60 der zwei Einlassverteiler-Seitenwände zu rutschen, die sich an einer Ecke treffen. Die geschlitzte Verbindungseinrichtung66 wird genauso wie die vorstehend beschriebene Verbindungseinrichtung62 ,64 installiert, nämlich durch Schieben der Verbindungseinrichtung66 über die beiden Enden60 . Die Länge L der Brücke68 bestimmt den Spalt zwischen den beiden Hälften der Verbindungseinrichtung6 , wenn sie, wie in8 gezeigt, gefaltet wird. Der Spalt sollte groß genug sein, um eine Bewegung der Enden60 zu ermöglichen, wenn sich die Einlassverteiler-Seitenwand ansprechend auf die Expansion und Kontraktion des Diffusors biegt, sollte jedoch klein genug sein, so dass die beiden Hälften der geschlitzten Verbindungseinrichtung66 im Gleitsitz über die Enden60 passen und dadurch den Gasleckstrom, wie im vorstehenden Absatz beschrieben, minimieren. - Unsere bevorzugte Ausführungsform hat zusätzlich in jeder der vier Ecken des Gaseinlassverteilers einen stationären Eckenhaltepfosten
58 mit einem dreieckigen Querschnitt, wie es in2 ,3 ,5 und6 gezeigt ist. Der Eckenhaltepfosten ist mit dem Diffusor20 , wie in5 und6 gezeigt, verbolzt und außerhalb des geschlitzten Verbindungsstücks62 ,64 mit einem Abstand angeordnet, um die Bewegung des geschlitzten Verbindungsstücks nicht zu beeinträchtigen, wenn der Diffusor expandiert und kontrahiert. Der Eckenstützpfosten hat keine Funktion während des Betriebs der Plasmakammer und kann deshalb weggelassen werden. Seine einzige Funktion besteht darin, zu verhindern, dass die dünnen Seitenwände24 zusammenfallen, wenn die Gaseinlassverteileranordnung20 bis32 außerhalb der Plasmakammer gelagert wird, beispielsweise wenn die Verteileranordnung als Ersatzteil gelagert oder wenn sie aus der Plasmakammer entfernt wird, um eine Wartung der Kammer zu ermöglichen. - Bei einer alternativen, in
13 gezeigten Auslegung können die vier Eckabdeckungen oder Verbindungseinrichtungen60 bis66 einfach weggelassen werden, indem jedes der vier Stücke der flexiblen Seitenwände24 so verlängert wird, dass sie an den vier Ecken des Diffusors aneinanderstoßen. Die vereinfachte Auslegung kann einen stärkeren Prozessgasstrom an den Ecken erzeugen, jedoch kann bei vielen Anwendungen die Leckstromlänge so klein sein, dass sie den an dem Werkstück auszuführenden Plasmaprozess nicht merklich beeinflusst. - In einer für eine Behandlung eines kreisförmigen Werkstücks
14 , wie eines Siliciumwafers, vorgesehenen Kammer sollte der Diffusor20 vorzugsweise im Querschnitt kreisförmig anstatt rechteckig wie bei den vorhergehenden Beispielen sein. In diesem Fall kann die flexible Aufhängung oder Seitenwand24 des Gaseinlassverteilers ein einziges durchgehendes Stück mit einer Ringform sein. Alternativ kann die Flexibilität der Aufhängung dadurch erhöht werden, dass sie in irgendeine Anzahl von sich axial erstreckenden Segmenten unterteilt wird, die durch kleine, axial verlaufende Spalte ähnlich wie bei den vier Segmenten der rechteckigen Seitenwand bei den vorher erörterten Ausführungsformen getrennt sind. - Obwohl die Wärmeausdehnung des Diffusors in den Kammern, die heutzutage am häufigsten für die Behandlung von Siliciumwafern mit einem Durchmesser von 200 mm verwendet werden, kein ernstes Problem ist, gewinnt die Wärmeausdehnung an Bedeutung, wenn sich die Industrie zu Wafern mit größerem Durchmesser und somit Diffusoren mit größerem Durchmesser zuwendet. Es ist deshalb eine wesentliche, in die Zukunft weisende Anwendung der Erfindung.
- Wärmeisolierung
- Um eine zuverlässige Vakuumabdichtung zwischen dem Kammerinnenraum und der Außenatmosphäre zu gewährleisten, ist es wichtig, die O-Ringe
45 bis48 vor einer übermäßigen Temperatur zu schützen. Billige O-Ringe (beispielsweise aus Viton-Elastomer) werden von ihren Herstellern gewöhnlich auf 250°C oder weniger bewertet, wobei einige Fachleute glauben, solche O-Ringe sollten zur Maximierung ihrer Betriebssicherheit auf 100°C oder darunter gehalten werden. - Die O-Ringe
46 und48 berühren direkt den Deckel18 , während der O-Ring47 die Rückwand28 des Gaseinlassverteilers direkt berührt, so dass man davon ausgehen kann, dass die Temperaturen dieser O-Ringe etwa der Temperatur des Deckels bzw. der Rückwand entsprechen. Bei der ersten Ausführungsform berührt der O-Ring45 die Rückwand direkt, während bei der zweiten Ausführungsform (9 bis11 ) der O-Ring45 den oberen Flansch70 der Aufhängung24 direkt berührt. Da der obere Flansch vorzugsweise in gutem Wärmekontakt mit der Rückwand angeordnet ist, geht man davon aus, dass der O-Ring45 nur etwas wärmer als die anderen O-Ringe ist. - Wir sind der Auffassung, dass das bloße Aussetzen gegenüber der Umgebungsatmosphäre ausreicht, um den Deckel
18 und die Kammerwand10 auf Temperaturen von 100° bis 140°C zu halten. Die Einlassverteiler-Rückwand28 ist insgesamt kälter, da sie der Wärmestrahlung aus dem Plasma in der Kammer nicht direkt ausgesetzt ist. Deshalb erwarten wir, dass die Temperaturen der O-Ringe45 bis48 140°C nicht überschreiten. Diese Temperatur ist niedrig genug, so dass wir davon ausgehen, dass keine zusätzliche Kühlung, wie eine Wasserkühlung, erforderlich ist. - Wahlweise kann jedoch die Kammerseitenwand
10 weiter gekühlt werden, indem sie mit einem nicht gezeigten Wassermantel umgeben wird, durch den kaltes Wasser gepumpt werden kann. Auf ähnliche Weise können der Deckel18 , die Rückwand28 und die Abdeckung16 gekühlt werden, indem das gleiche Wasser durch einen abgedichteten Wassermantel (nicht gezeigt) gepumpt wird, der an der oberen Fläche der Rückwand28 unter der Abdeckung16 montiert wird. Eine solche Wasserkühlung kann verhindern, dass die Temperaturen der O-Ringe45 bis48 100°C überschreiten. - Da die Rückwand
28 des Gaseinlassverteilers HF-gespeist ist, sollte zwischen dem Wassermantel und der Rückwand ein Dielektrikum angeordnet werden. Wenn man den Temperaturunterschied zwischen dem Wassermantel und der Rückwand vergrößern möchte, kann ein dickeres Dielektrikum gewählt werden. Dies kann bei Anwendungen zweckmäßig sein, bei denen man die Rückwand auf einer Temperatur halten möchte, die wesentlich höher als die Temperatur des Wassers ist, beispielsweise auf über 100°C. Das Halten der Rückwand auf einer derart hohen Temperatur würde die Temperatursteigerung der Gasverteilungsplatte unterstützen, was aus den im nächsten Absatz erläuterten Gründen vorteilhaft sein kann. - Während eine niedrige Temperatur für die O-Ringe wichtig ist, ist sie für die Gasverteilungsplatte oder den Diffusor
20 unerwünscht. Eine Erhöhung der Temperatur der Gasverteilungsplatte auf 250° bis 325°C ist vorteilhaft, um den Wärmeverlust von der Oberfläche des Substrats14 zu verringern. Wenn man einen herkömmlichen In-situ-Plasmaprozess verwenden möchte, um Rückstände aus dem Innenraum der Kammer durch Reinigen zu entfernen, wird die Reinigung der Gasverteilungsplatte auch beschleunigt, wenn die Temperatur der Gasverteilungsplatte angehoben wird. - Bei herkömmlichen Konstruktionen ist die Gasverteilungsplatte direkt mit einem Kammerdeckel oder einer Seitenwand verschraubt, die eine hohe thermische Masse und eine hohe Wärmeleitfähigkeit hat, so dass der Deckel oder die Seitenwand als Wärmesenke wirkt und Wärme von der Verteilungsplatte wegzieht. Im Gegensatz dazu kann unsere neue Einlassverteiler-Seitenwand
24 die Gasverteilungsplatte dadurch thermisch isolieren, dass zwischen der Gasverteilungsplatte und den anderen Kammerbauteilen, wie dem Deckel18 und der Kammerwand10 , ein thermischer Widerstand vorgesehen wird. Deshalb kann die Gasverteilungsplatte bei einer höheren Temperatur als herkömmliche Konstruktionen arbeiten. - Bei einer bevorzugten Auslegung zur Ausbildung der gewünschten thermischen Isolation der Gasverteilungsplatte
20 ist unsere Einlassverteiler-Seitenwand24 (oder ein Teil von ihr) ausreichend dünn und hat eine ausreichende Länge oder Höhe, so dass der thermische Widerstand der Seitenwand24 (oder eines solchen Teils) groß genug ist, um für eine wesentliche Temperaturdifferenz zwischen der Gasverteilungsplatte und den Kammerbauteilen, an denen sie montiert ist, d.h. der Rückwand28 , dem Kammerdeckel18 , der Kammerseitenwand10 und den O-Ringen45 bis47 , zu sorgen. Mit Länge oder Höhe meinen wir eine Abmessung längs der Richtung senkrecht zur Ebene der Gasverteilungsplatte. Bei der erfolgreich geprüften Ausführungsform von1 ist die Einlassverteiler-Seitenwand ein Aluminiumblech mit einer Dicke von 1 mm und einer Höhe von 5 cm. - Unsere bevorzugte Temperatur für die Gasverteilungsplatte
20 bei der Ausführung eines Plasma-CVD-Prozesses beträgt wenigstens 200°C, vorzugsweise 250°C bis 325°C, und besonders bevorzugt etwa 300°C. Unsere Einlassverteiler-Seitenwand24 hat einen ausreichenden thermischen Widerstand, um es der Gasverteilungsplatte zu ermöglichen, solche Temperaturen zu erreichen, während die äußeren Kammerbauteile 100°C bis 140°C nicht überschreiten. Die Kammerwand10 , der Deckel18 und die Einlassverteiler-Rückwand28 können als Wärmesenken wirkend angesehen werden, um die O-Ringe45 bis48 auf einer ausreichend niedrigen Temperatur zu halten. - Wenn die Temperatur an der Gasverteilungsplatte
20 während der Plasmabehandlung 300°C und an der Rückwand28 und den O-Ringen45 bis48 140°C beträgt, liegt die Temperaturdifferenz über der Einlassverteiler-Seitenwand24 bei etwa 160°C. Unsere Erfindung zieht in Betracht, dass die Seitenwanddicke und -höhe vorzugsweise ausreichend klein bzw. groß sein sollten, so dass eine solche Temperaturdifferenz wenigstens 100°C beträgt, wenn die Kammerbauelemente ihre normalen Betriebstemperaturen während der Plasmabehandlung erreicht haben. - Bei einer alternativen Auslegung kann eine thermische Isolation der Gasverteilungsplatte
20 dadurch erreicht werden, dass der Wärmewiderstand von einer oder beiden der folgenden beiden Kontaktflächen erhöht wird, nämlich (1) der Kontaktfläche zwischen der Aufhängung und der Gasverteilungsplatte und (2) der Kontaktfläche zwischen der Aufhängung und den anderen Kammerbauelementen, die thermisch mit der Kammerwand gekoppelt sind. - Eine Ausführung dieser Methode besteht darin, den Oberflächenbereich von wenigstens einer der beiden Kontaktflächen zu verringern. Beispielsweise kann der thermische Widerstand zwischen der Aufhängung und der Gasverteilungsplatte dadurch erhöht werden, dass der Oberflächenbereich, der von den Schweißwulsten
56 zwischen der Gasverteilungsplatte und dem unteren Flansch54 der Aufhängung (4 und10 ) bedeckt ist, verringert wird. Als alternatives Beispiel kann bei den Ausführungen von9 bis12 der thermische Widerstand zwischen der Aufhängung und den anderen Kammerbauelementen (Deckel18 und Rückwand28 ) dadurch erhöht werden, dass der Oberflächenbereich verringert wird, der von den Wulsten57 zwischen der oberen Lippe26 und dem Flansch70 der Aufhängung abgedeckt wird. Bei jedem Beispiel wäre eine mögliche Ausführung, nur sechs oder acht Schweißwulste56 oder57 , die jeweils nur eine Breite von einem halben Zoll längs des Umfangs der Aufhängung haben, anzubringen. Da die Schweißwulste auch HF-Leistung zu der Gasverteilungsplatte leiten, sollten sie gleichförmig um den Umfang der Aufhängung24 herum beabstandet sein, um eine gleichförmige Verteilung der HF-Leistung zu gewährleisten.
Claims (20)
- Gaseinlassverteiler für eine Plasmakammer – mit einer Rückwand (
28 ), die von einer Gaseinlassöffnung (30 ) durchbrochen ist, – mit einer Gasverteilungsplatte (20 ), die von einer Anzahl von Gasauslassöffnungen (22 ) durchbrochen und in einem Abstand weg von der Rückwand angeordnet ist, und – mit einer Aufhängung, die eine Anzahl von Seitenwandsegmenten (24 ) aufweist, die so angeordnet sind, dass sie in dem Gaseinlassverteiler einen Bereich kollektiv umschließen, der sich zwischen der Rückwand und der Gasverteilungsplatte erstreckt, so dass die Gaseinlassöffnung und die Gasauslassöffnungen in Fluidverbindung mit dem Bereich stehen, – wobei jedes Seitenwandsegment ein erstes Ende (26 ), das an der Rückwand des Gaseinlassverteilers befestigt ist und daran anstößt, ein zweites Ende (54 ), das an der Gasverteilungsplatte befestigt ist und daran anstößt, und einen Zwischenteil (24 ) aufweist, der sich von dem Gaseinlassverteiler zu der Gasverteilungsplatte erstreckt, und – wobei im Wesentlichen der ganze Zwischenteil eines jeden Seitenwandsegments flexibel ist. - Gaseinlassverteiler nach Anspruch 1, bei welchem jedes Seitenwandsegment ein dünnes flexibles Metallblech ist.
- Gaseinlassverteiler nach Anspruch 1 oder 2, bei welchem jedes Seitenwandsegment vertikal ausgerichtet ist.
- Gaseinlassverteiler nach Anspruch 1, bei welchem – die Gasverteilungsplatte eine der Rückwand zugewandte Oberfläche hat, die insgesamt rechteckig ist, – die Anzahl der Seitenwandsegmente vier ist und – jedes Seitenwandsegment ein insgesamt rechteckiges Blech ist.
- Gaseinlassverteiler nach Anspruch 4, bei welchem – die rechteckige Oberfläche der Gasverteilungsplatte vier Seiten hat und – das zweite Ende eines jeden der vier entsprechenden Seitenwandsegmente an der Gasverteilungsplatte angrenzend jeweils an eine der vier Seiten der Platte befestigt ist.
- Gaseinlassverteiler nach Anspruch 1, bei welchem – die Gasverteilungsplatte eine der Rückwand zugewandte Oberfläche hat, die insgesamt kreisförmig ist, – die Anzahl der Seitenwandsegmente eins ist und – das Seitenwandsegment ein insgesamt zylindrisches Blech ist.
- Gaseinlassverteiler nach Anspruch 1, welcher weiterhin – einen Dichtungsflansch mit einem Innenelement (
62 ) und einem Außenelement (64 ) aufweist, die miteinander längs einer langgestreckten Verbindung zusammengeschlossen sind, die sowohl das Innenelement als auch das Außenelement halbiert, – wobei die Seitenwandsegmente erste und zweite Seitenwandsegmente aufweisen, die durch einen Spalt getrennt sind, der sich zwischen der Rückwand und der Gasverteilungsplatte erstreckt, und – wobei der Dichtungsflansch so angeordnet ist, dass die Verbindung des Dichtungsflansches innerhalb des Spalts liegt und ein Seitenteil des ersten Seitenwandsegments und ein Seitenteil des zweiten Seitenwandsegments zwischen dem Innen- und Außenelement des Dichtungsflansches liegen. - Gaseinlassverteiler nach Anspruch 1, welcher weiterhin – eine geschlitzte Abdeckung mit ersten und zweiten parallelen Elementen (
66 ) aufweist, die durch ein Querelement (68 ) verbunden sind, – wobei die Seitenwandsegmente erste und zweite Seitenwandsegmente aufweisen, die durch einen Spalt getrennt sind, der sich zwischen der Rückwand und der Gasverteilungsplatte erstreckt, und – wobei die Abdeckung so angeordnet ist, dass sich der Spalt zwischen den beiden parallelen Elementen befindet, wodurch jedes Element von den ersten und zweiten parallelen Elementen den Spalt rittlings übergreift und sich ein Seitenteil des ersten Seitenwandsegments und ein Seitenteil des zweiten Seitenwandsegments zwischen den Innen- und Außenelementen der Abdeckung befinden. - Gaseinlassverteiler nach Anspruch 8, bei welchem die zwei parallelen Elemente der Abdeckung in einem Abstand angeordnet sind, der zueinander und zu den ersten und zweiten Seitenwandsegmenten ausreichend nahe ist, um Gas in dem Bereich des Gaseinlassverteilers davon abzuhalten, durch den Spalt zu strömen.
- Gaseinlassverteiler nach Anspruch 1, bei welchem die Seitenwandsegmente – ein erstes Seitenwandsegment mit einem ersten Blech, das ein erstes Ende, ein zweites Ende und einen ersten Rand aufweist, der sich von dem ersten Ende des ersten Blechs zu dem zweiten Ende des ersten Blechs erstreckt, – ein zweites Seitenwandsegment mit einem zweiten Blech, das ein erstes Ende, ein zweites Ende und einen zweiten Rand hat, der sich von dem ersten Ende des zweiten Blechs zu dem zweiten Ende des zweiten Blechs erstreckt, – ein drittes Seitenwandsegment und – ein viertes Seitenwandsegment aufweist, – wobei das erste Blech in einem Winkel von 45° längs einer ersten Biegelinie gebogen ist, die sich zwischen dem ersten Ende des ersten Blechs und dem zweiten Ende des ersten Blechs erstreckt, so dass sich ein Seitenteil (
60 ) des ersten Blechs zwischen der ersten Biegelinie und dem ersten Rand erstreckt, – wobei das zweite Blech mit einem Winkel von 45° längs einer zweiten Biegelinie gebogen ist, die sich zwischen dem ersten Ende des zweiten Blechs und dem zweiten Ende des zweiten Blechs erstreckt, so dass sich ein Seitenteil (60 ) des zweiten Blechs zwischen der zweiten Biegelinie und dem zweiten Rand erstreckt, und – wobei die ersten und zweiten Seitenwandsegmente so angeordnet sind, dass die ersten und zweiten Ränder benachbart, jedoch gegenseitig durch einen Spalt beabstandet sind und das Seitenteil des ersten Blechs koplanar zu dem Seitenteil des zweiten Blechs ist. - Verfahren zum Anbringen einer Gasverteilungsplatte an einer Rückwand eines Gaseinlassverteilers, welches die Schritte aufweist: – Bereitstellen einer Rückwand (
28 ), die von einer Gaseinlassöffnung (30 ) durchbrochen ist, – Bereitstellen einer Gasverteilungsplatte (20 ), die von einer Anzahl von Gasauslassöffnungen (22 ) durchbrochen ist, – Bereitstellen einer Aufhängung mit einer Anzahl von Seitenwandsegmenten (24 ), von denen jedes ein erstes Ende (26 ), ein zweites Ende (24 ) und einen Zwischenteil aufweist, der sich zwischen dem ersten und zweiten Ende erstreckt, – Anordnen der Seitenwandsegmente so, dass sie in dem Gaseinlassverteiler einen Bereich kollektiv umschließen, der sich zwischen der Rückwand und der Gasverteilungsplatte so erstreckt, dass die Gaseinlassöffnung und die Gasauslassöffnungen in Fluidverbindung mit dem Bereich stehen, – Befestigen des ersten Endes (26 ) eines jeden Seitenwandsegments (24 ) an der Rückwand (28 ) derart, dass der Zwischenteil eines jeden Seitenwandsegments (24 ) an die Rückwand anstößt, und – Befestigen des zweiten Endes (54 ) eines jeden Seitenwandsegments (24 ) an der Gasverteilungsplatte (20 ) derart, dass der Zwischenteil eines jeden Seitenwandsegments (24 ) an die Gasverteilungsplatte (20 ) anstößt, – wobei im Wesentlichen der gesamte Zwischenteil eines jeden Seitenwandsegments (24 ) flexibel ist. - Verfahren nach Anspruch 11, bei welchem der Zwischenteil eines jeden Seitenwandsegments (
24 ) ein dünnes flexibles Metallblech ist. - Verfahren nach Anspruch 11 oder 12, bei welchem der Schritt des Befestigens des ersten Endes eines jeden Seitenwandsegments (
24 ) weiterhin den Schritt aufweist: – Befestigen des ersten Endes eines jeden Seitenwandsegments (24 ) derart, dass der Zwischenteil eines jeden Seitenwandsegments vertikal ausgerichtet ist. - Verfahren nach Anspruch 11, bei welchem – der Schritt des Bereitstellens der Gasverteilungsplatte (
20 ) weiterhin den Schritt aufweist, die Gasverteilungsplatte (20 ) mit einer der Rückwand (28 ) zugewandten Oberfläche zu versehen, die insgesamt rechteckig ist, – die Anzahl der Seitenwandsegmente (24 ) vier beträgt und – der Zwischenteil eines jeden Seitenwandsegments (24 ) ein insgesamt rechteckiges Blech ist. - Verfahren nach Anspruch 14, bei welchem – die rechteckige Oberfläche der Gasverteilungsplatte (
20 ) vier Seiten hat und – der Schritt des Befestigens des zweiten Endes (54 ) eines jeden Seitenwandsegments weiterhin den Schritt aufweist, das zweite Ende einer jeden der vier jeweiligen Seitenwandsegmente (24 ) angrenzend an eine entsprechende Seite der vier Seiten der Gasverteilungsplatte (20 ) zu befestigen. - Verfahren nach Anspruch 11, bei welchem – der Schritt des Bereitstellens der Gasverteilungsplatte (
20 ) weiterhin den Schritt aufweist, die Gasverteilungsplatte (20 ) mit einer der Rückwand (28 ) zugewandten Oberfläche zu versehen, die insgesamt kreisförmig ist, – die Anzahl der Seitenwandsegmente (24 ) eins beträgt und – der Zwischenteil des Seitenwandsegments (24 ) ein insgesamt zylindrisches Blech ist. - Verfahren nach Anspruch 11, welches weiterhin den Schritt aufweist, – Bereitstellen eines Dichtungsflansches mit einem Innenelement (
62 ) und einem Außenelement (64 ), die miteinander längs einer langgestreckten Verbindung zusammengeschlossen sind, die sowohl das Innenelement (62 ) als auch das Außenelement (64 ) halbiert, – wobei der Schritt des Bereitstellens einer Aufhängung das Bereitstellen wenigstens eines ersten Seitenwandsegments, eines zweiten Seitenwandsegments, eines dritten Seitenwandsegments und eines vierten Seitenwandsegments aufweist, – wobei der Schritt des Befestigens des ersten Endes der ersten und zweiten Seitenwandsegmente (24 ) weiterhin den Schritt aufweist, das erste Ende der ersten und zweiten Seitenwandsegmente so zu befestigen, dass die ersten und zweiten Seitenwandsegmente durch einen Spalt getrennt sind, der sich zwischen der Rückwand und der Gasverteilungsplatte erstreckt, und – wobei das Verfahren weiterhin den Schritt aufweist, den Dichtungsflansch so zu positionieren, dass der Anschluss des Dichtungsflansches innerhalb des Spaltes liegt und ein Seitenteil (60 ) des ersten Seitenwandsegments und ein Seitenteil (60 ) des zweiten Seitenwandsegments sich zwischen dem Innenelement (62 ) und dem Außenelement (64 ) des Dichtungsflansches befinden. - Verfahren nach Anspruch 11, welches weiterhin den Schritt aufweist: – Bereitstellen einer Abdeckung mit ersten und zweiten parallelen Elementen (
66 ), die durch ein Querelement (68 ) verbunden sind, – wobei der Schritt des Bereitstellens einer Aufhängung das Bereitstellen wenigstens eines ersten Seitenwandsegments, eines zweiten Seitenwandsegments, eines dritten Seitenwandsegments und eines vierten Seitenwandsegments aufweist, – wobei der Schritt des Befestigens des ersten Endes der ersten und zweiten Seitenwandsegmente weiterhin den Schritt aufweist, die Seitenwandsegmente so zu positionieren, dass die ersten und zweiten Seitenwandsegmente durch einen Spalt getrennt sind, und – wobei das Verfahren weiterhin den Schritt aufweist, die Abdeckung so zu positionieren, dass sich der Spalt zwischen den beiden parallelen Elementen befindet, wodurch jedes der beiden parallelen Elemente den Spalt rittlings übergreift und ein Seitenteil des ersten Seitenwandsegments und ein Seitenteil des zweiten Seitenwandsegments sich zwischen beiden parallelen Elementen befinden. - Verfahren nach Anspruch 18, bei welchem der Schritt des Positionierens der Abdeckung weiterhin den Schritt aufweist: – Anordnen der beiden parallelen Elemente der Abdeckung ausreichend nahe zueinander und zu den ersten und zweiten Seitenwandsegmenten, um ein Strömen des Gases durch den Spalt zu unterbinden.
- Verfahren nach Anspruch 11, bei welchem der Schritt des Bereitstellens einer Aufhängung die Schritte aufweist: – Bereitstellen eines ersten Seitenwandsegments, das ein erstes Blech mit einem ersten Ende, einem zweiten Ende und einem ersten Rand aufweist, der sich von dem ersten Ende des ersten Blechs zu dem zweiten Ende des ersten Blechs erstreckt, – Bereitstellen eines zweiten Seitenwandsegments, das ein zweites Blech mit einem ersten Ende, einem zweiten Ende und einem zweiten Rand aufweist, der sich von dem ersten Ende des zweiten Blechs zu dem zweiten Ende des zweiten Blechs erstreckt, – Bereitstellen eines dritten Seitenwandsegments und – Bereitstellen eines vierten Seitenwandsegments, – Biegen des ersten Blechs mit einem 45°-Winkel längs einer ersten Biegelinie, die sich zwischen dem ersten Ende des ersten Blechs und dem zweiten Ende des ersten Blechs erstreckt, so dass sich ein Seitenteil (
60 ) des ersten Blechs zwischen der ersten Biegelinie und dem ersten Rand erstreckt, und – Biegen des zweiten Blechs mit einem 45°-Winkel längs einer zweiten Biegelinie, die sich zwischen dem ersten Ende des zweiten Blechs und dem zweiten Ende des zweiten Blechs erstreckt, so dass sich ein Seitenteil (60 ) des zweiten Blechs zwischen der zweiten Biegelinie und dem zweiten Rand erstreckt, und – wobei der Schritt des Befestigens des ersten Endes eines jeden Seitenwandsegments weiterhin den Schritt aufweist, die ersten und zweiten Seitenwandsegmente so zu positionieren, dass die ersten und zweiten Ränder benachbart, jedoch gegenseitig durch einen Spalt beabstandet sind und der Seitenteil des ersten Blechs koplanar zu dem Seitenteil des zweiten Blechs ist.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/488,612 US6477980B1 (en) | 2000-01-20 | 2000-01-20 | Flexibly suspended gas distribution manifold for plasma chamber |
US488612 | 2000-01-20 |
Publications (2)
Publication Number | Publication Date |
---|---|
DE60125608D1 DE60125608D1 (de) | 2007-02-15 |
DE60125608T2 true DE60125608T2 (de) | 2007-11-15 |
Family
ID=23940398
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE60125608T Expired - Lifetime DE60125608T2 (de) | 2000-01-20 | 2001-01-17 | Hängende Gasverteilungvorrichtung für Plasmakammer |
Country Status (7)
Country | Link |
---|---|
US (3) | US6477980B1 (de) |
EP (1) | EP1118693B1 (de) |
JP (1) | JP4430253B2 (de) |
KR (7) | KR100737228B1 (de) |
DE (1) | DE60125608T2 (de) |
SG (1) | SG87200A1 (de) |
TW (1) | TW477830B (de) |
Families Citing this family (304)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
DE10041698A1 (de) * | 2000-08-24 | 2002-03-14 | Infineon Technologies Ag | Verfahren zur Herstellung einer ferroelektrischen Festkörperschicht unter Verwendung eines Hilfsstoffes |
JP4236882B2 (ja) * | 2001-08-01 | 2009-03-11 | 東京エレクトロン株式会社 | ガス処理装置およびガス処理方法 |
US20030087488A1 (en) * | 2001-11-07 | 2003-05-08 | Tokyo Electron Limited | Inductively coupled plasma source for improved process uniformity |
US6827815B2 (en) * | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
TWI294155B (en) | 2002-06-21 | 2008-03-01 | Applied Materials Inc | Transfer chamber for vacuum processing system |
US20040052969A1 (en) * | 2002-09-16 | 2004-03-18 | Applied Materials, Inc. | Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate |
US6946033B2 (en) * | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
US7270713B2 (en) | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
US7500445B2 (en) * | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US7316761B2 (en) * | 2003-02-03 | 2008-01-08 | Applied Materials, Inc. | Apparatus for uniformly etching a dielectric layer |
KR100490049B1 (ko) * | 2003-04-14 | 2005-05-17 | 삼성전자주식회사 | 일체형 디퓨저 프레임을 가지는 cvd 장치 |
US6942753B2 (en) | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
KR100965758B1 (ko) * | 2003-05-22 | 2010-06-24 | 주성엔지니어링(주) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
US20050050708A1 (en) * | 2003-09-04 | 2005-03-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Embedded fastener apparatus and method for preventing particle contamination |
US20050103267A1 (en) * | 2003-11-14 | 2005-05-19 | Hur Gwang H. | Flat panel display manufacturing apparatus |
US7189491B2 (en) * | 2003-12-11 | 2007-03-13 | Az Electronic Materials Usa Corp. | Photoresist composition for deep UV and process thereof |
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20050220568A1 (en) * | 2004-03-31 | 2005-10-06 | Tokyo Electron Limited | Method and system for fastening components used in plasma processing |
US20050223984A1 (en) * | 2004-04-08 | 2005-10-13 | Hee-Gyoun Lee | Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors |
US20050223983A1 (en) | 2004-04-08 | 2005-10-13 | Venkat Selvamanickam | Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors |
US20050223986A1 (en) * | 2004-04-12 | 2005-10-13 | Choi Soo Y | Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition |
WO2005103874A2 (en) * | 2004-04-16 | 2005-11-03 | Cascade Basic Research Corp. | Modelling relationships within an on-line connectivity universe |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US20060201074A1 (en) * | 2004-06-02 | 2006-09-14 | Shinichi Kurita | Electronic device manufacturing chamber and methods of forming the same |
US20050284573A1 (en) * | 2004-06-24 | 2005-12-29 | Egley Fred D | Bare aluminum baffles for resist stripping chambers |
US20060011582A1 (en) * | 2004-07-14 | 2006-01-19 | Savas Stephen E | Fast isotropic etching system and process for large, non-circular substrates |
US20060011139A1 (en) * | 2004-07-16 | 2006-01-19 | Applied Materials, Inc. | Heated substrate support for chemical vapor deposition |
TWI287279B (en) * | 2004-09-20 | 2007-09-21 | Applied Materials Inc | Diffuser gravity support |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US7387811B2 (en) * | 2004-09-21 | 2008-06-17 | Superpower, Inc. | Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD) |
US7584714B2 (en) * | 2004-09-30 | 2009-09-08 | Tokyo Electron Limited | Method and system for improving coupling between a surface wave plasma source and a plasma space |
US20060075970A1 (en) * | 2004-10-13 | 2006-04-13 | Guenther Rolf A | Heated substrate support and method of fabricating same |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
US20060105114A1 (en) * | 2004-11-16 | 2006-05-18 | White John M | Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs |
KR20060076714A (ko) * | 2004-12-28 | 2006-07-04 | 에이에스엠지니텍코리아 주식회사 | 원자층 증착기 |
KR100634451B1 (ko) * | 2005-01-10 | 2006-10-16 | 삼성전자주식회사 | 반도체 소자 제조 장치 |
US20060177772A1 (en) * | 2005-02-10 | 2006-08-10 | Abdallah David J | Process of imaging a photoresist with multiple antireflective coatings |
US7430986B2 (en) | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
US20060213617A1 (en) * | 2005-03-25 | 2006-09-28 | Fink Steven T | Load bearing insulator in vacuum etch chambers |
US20060228490A1 (en) * | 2005-04-07 | 2006-10-12 | Applied Materials, Inc. | Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems |
KR100629358B1 (ko) * | 2005-05-24 | 2006-10-02 | 삼성전자주식회사 | 샤워 헤드 |
US20060266288A1 (en) * | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
US20070021935A1 (en) * | 2005-07-12 | 2007-01-25 | Larson Dean J | Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber |
TWI295816B (en) | 2005-07-19 | 2008-04-11 | Applied Materials Inc | Hybrid pvd-cvd system |
JP2007042744A (ja) * | 2005-08-01 | 2007-02-15 | Sharp Corp | プラズマ処理装置 |
US7429718B2 (en) * | 2005-08-02 | 2008-09-30 | Applied Materials, Inc. | Heating and cooling of substrate support |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
JP5044931B2 (ja) * | 2005-10-31 | 2012-10-10 | 東京エレクトロン株式会社 | ガス供給装置及び基板処理装置 |
US20070116873A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US20070138134A1 (en) * | 2005-12-19 | 2007-06-21 | Chuan-Han Hsieh | Etching apparatus and etching method |
US8216374B2 (en) | 2005-12-22 | 2012-07-10 | Applied Materials, Inc. | Gas coupler for substrate processing chamber |
US20070163716A1 (en) * | 2006-01-19 | 2007-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution apparatuses and methods for controlling gas distribution apparatuses |
KR100738876B1 (ko) * | 2006-02-21 | 2007-07-12 | 주식회사 에스에프에이 | 평면디스플레이용 화학 기상 증착장치 |
US7794546B2 (en) * | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US7645710B2 (en) | 2006-03-09 | 2010-01-12 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7678710B2 (en) | 2006-03-09 | 2010-03-16 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7837838B2 (en) | 2006-03-09 | 2010-11-23 | Applied Materials, Inc. | Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus |
KR100711184B1 (ko) * | 2006-03-27 | 2007-04-24 | 주식회사 마이크로텍 | 샤워헤드 브래킷 |
US7743731B2 (en) * | 2006-03-30 | 2010-06-29 | Tokyo Electron Limited | Reduced contaminant gas injection system and method of using |
US20070254112A1 (en) * | 2006-04-26 | 2007-11-01 | Applied Materials, Inc. | Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning |
US8440049B2 (en) * | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
JP4800845B2 (ja) * | 2006-05-30 | 2011-10-26 | 積水化学工業株式会社 | プラズマ処理装置 |
US7902018B2 (en) | 2006-09-26 | 2011-03-08 | Applied Materials, Inc. | Fluorine plasma treatment of high-k gate stack for defect passivation |
CN101528973B (zh) * | 2006-10-24 | 2012-04-25 | 应用材料公司 | 用于原子层沉积的涡流室盖 |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
CN101205605B (zh) * | 2006-12-18 | 2012-01-11 | 东京毅力科创株式会社 | 用于热增强和等离子体增强气相沉积的装置及操作方法 |
US7993457B1 (en) * | 2007-01-23 | 2011-08-09 | Novellus Systems, Inc. | Deposition sub-chamber with variable flow |
US7988875B2 (en) * | 2007-02-08 | 2011-08-02 | Applied Materials, Inc. | Differential etch rate control of layers deposited by chemical vapor deposition |
US8069817B2 (en) * | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
DE102007022431A1 (de) * | 2007-05-09 | 2008-11-13 | Leybold Optics Gmbh | Behandlungssystem für flache Substrate |
KR20080100057A (ko) * | 2007-05-11 | 2008-11-14 | 주성엔지니어링(주) | 결정질 실리콘 태양전지의 제조방법과 그 제조장치 및시스템 |
US20080279658A1 (en) * | 2007-05-11 | 2008-11-13 | Bachrach Robert Z | Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory |
US7496423B2 (en) * | 2007-05-11 | 2009-02-24 | Applied Materials, Inc. | Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots |
US20080292433A1 (en) * | 2007-05-11 | 2008-11-27 | Bachrach Robert Z | Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory |
US20080302303A1 (en) * | 2007-06-07 | 2008-12-11 | Applied Materials, Inc. | Methods and apparatus for depositing a uniform silicon film with flow gradient designs |
US8216418B2 (en) * | 2007-06-13 | 2012-07-10 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
US7875486B2 (en) | 2007-07-10 | 2011-01-25 | Applied Materials, Inc. | Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning |
US20090071406A1 (en) * | 2007-09-19 | 2009-03-19 | Soo Young Choi | Cooled backing plate |
US8187414B2 (en) | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
US8152954B2 (en) | 2007-10-12 | 2012-04-10 | Lam Research Corporation | Showerhead electrode assemblies and plasma processing chambers incorporating the same |
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US8043470B2 (en) * | 2007-11-21 | 2011-10-25 | Lam Research Corporation | Electrode/probe assemblies and plasma processing chambers incorporating the same |
JP5524076B2 (ja) * | 2007-12-25 | 2014-06-18 | アプライド マテリアルズ インコーポレイテッド | プラズマチャンバへrf電力を結合する装置 |
WO2010044895A2 (en) * | 2008-01-31 | 2010-04-22 | Applied Materials, Inc | Multiple phase rf power for electrode of plasma chamber |
JP5230225B2 (ja) * | 2008-03-06 | 2013-07-10 | 東京エレクトロン株式会社 | 蓋部品、処理ガス拡散供給装置、及び基板処理装置 |
US9484213B2 (en) | 2008-03-06 | 2016-11-01 | Tokyo Electron Limited | Processing gas diffusing and supplying unit and substrate processing apparatus |
US8187413B2 (en) * | 2008-03-18 | 2012-05-29 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket |
JP5271586B2 (ja) * | 2008-04-09 | 2013-08-21 | 東京エレクトロン株式会社 | プラズマ処理容器およびプラズマ処理装置 |
US8679288B2 (en) | 2008-06-09 | 2014-03-25 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
KR101555955B1 (ko) | 2008-06-19 | 2015-09-25 | 주성엔지니어링(주) | 기판형 태양전지의 제조방법 |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8161906B2 (en) | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
US8206506B2 (en) | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
KR100967612B1 (ko) * | 2008-07-09 | 2010-07-05 | 주식회사 메카로닉스 | 삼중 샤워헤드 및 이를 포함하는 원자층 증착장치 |
US8449679B2 (en) | 2008-08-15 | 2013-05-28 | Lam Research Corporation | Temperature controlled hot edge ring assembly |
WO2010024814A1 (en) * | 2008-08-28 | 2010-03-04 | Applied Materials, Inc. | Methods and apparatus for depositing a uniform silicon film with flow gradient designs |
TWI475708B (zh) * | 2008-09-01 | 2015-03-01 | Applied Materials Inc | 利用流量梯度設計以沉積均勻矽膜之方法與設備 |
US20100112212A1 (en) * | 2008-10-31 | 2010-05-06 | Applied Materials, Inc. | Adjustable gas distribution apparatus |
US8992723B2 (en) * | 2009-02-13 | 2015-03-31 | Applied Material, Inc. | RF bus and RF return bus for plasma chamber electrode |
JP5262878B2 (ja) * | 2009-03-17 | 2013-08-14 | 東京エレクトロン株式会社 | 載置台構造及びプラズマ成膜装置 |
US20100252047A1 (en) | 2009-04-03 | 2010-10-07 | Kirk Seth M | Remote fluorination of fibrous filter webs |
US8402918B2 (en) | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
KR101059064B1 (ko) | 2009-06-08 | 2011-08-24 | 주식회사 테스 | 대면적 가스분사장치 |
US8419959B2 (en) | 2009-09-18 | 2013-04-16 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US9039864B2 (en) * | 2009-09-29 | 2015-05-26 | Applied Materials, Inc. | Off-center ground return for RF-powered showerhead |
KR200464037Y1 (ko) | 2009-10-13 | 2012-12-07 | 램 리써치 코포레이션 | 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극 |
US20110097489A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Distribution manifold including multiple fluid communication ports |
CN102598876B (zh) * | 2009-11-17 | 2018-05-04 | 应用材料公司 | 具有电极处rf匹配的大面积等离子体处理腔室 |
JP5835722B2 (ja) * | 2009-12-10 | 2015-12-24 | オルボテック エルティ ソラー,エルエルシー | 自動順位付け多方向直列型処理装置 |
KR200457817Y1 (ko) * | 2009-12-28 | 2012-01-05 | 주식회사 케이씨텍 | 원자층 증착장치의 샤워헤드 유닛 |
TWI369251B (en) * | 2010-02-01 | 2012-08-01 | Ind Tech Res Inst | Gas distribution module and gas distribution scanning apparatus using the same |
US9850576B2 (en) * | 2010-02-15 | 2017-12-26 | Applied Materials, Inc. | Anti-arc zero field plate |
DE112011101134T5 (de) | 2010-03-30 | 2013-01-10 | Applied Materials, Inc. | Verfahren zum Bilden einer negativ geladenen Passivierungsschicht über einem verteilten p-dotierten Bereich |
US9068262B2 (en) * | 2010-05-21 | 2015-06-30 | Applied Materials, Inc. | Tightly fitted ceramic insulator on large area electrode |
JP5045786B2 (ja) * | 2010-05-26 | 2012-10-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8721791B2 (en) | 2010-07-28 | 2014-05-13 | Applied Materials, Inc. | Showerhead support structure for improved gas flow |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
EP2426737A1 (de) | 2010-09-03 | 2012-03-07 | Applied Materials, Inc. | Herstellungsverfahren für Dünnfilmsolarzelle, Abscheidungsverfahren für Solarzellen-Vorläuferschichtstapel und Solarzellen-Vorläuferschichtstapel |
KR101009506B1 (ko) * | 2010-09-08 | 2011-01-18 | 주식회사 아키덤엔지니어링건축사사무소 | 전주용 완금밴드장치 |
EP2439792A1 (de) | 2010-10-05 | 2012-04-11 | Applied Materials, Inc. | Herstellungsverfahren für Dünnfilmsolarzelle, Abscheidungsverfahren für Solarzellen-Vorläuferschichtstapel und Solarzellen-Vorläuferschichtstapel |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US8470127B2 (en) * | 2011-01-06 | 2013-06-25 | Lam Research Corporation | Cam-locked showerhead electrode and assembly |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
WO2012113441A1 (en) | 2011-02-21 | 2012-08-30 | Applied Materials, Inc. | Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
CN106884157B (zh) | 2011-03-04 | 2019-06-21 | 诺发系统公司 | 混合型陶瓷喷淋头 |
US20120231181A1 (en) * | 2011-03-09 | 2012-09-13 | Applied Materials, Inc. | Insulation coverage of cvd electrode |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
EP2523227A1 (de) | 2011-05-13 | 2012-11-14 | Applied Materials, Inc. | Herstellungsverfahren für Dünnschicht-Solarzellen, Abscheidungsverfahren für eine TCO-Schicht und Solarzellenvorläuferschichtstapel |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
KR101441478B1 (ko) * | 2012-07-09 | 2014-09-17 | 주식회사 에스에프에이 | 평면디스플레이용 화학 기상 증착장치 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
KR101387518B1 (ko) * | 2012-08-28 | 2014-05-07 | 주식회사 유진테크 | 기판처리장치 |
JP2014049529A (ja) * | 2012-08-30 | 2014-03-17 | Tokyo Electron Ltd | プラズマ処理装置及び金属の酸化膜を洗浄する方法 |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
KR101468541B1 (ko) * | 2012-10-25 | 2014-12-04 | 주식회사 에스에프에이 | 가스 분배 조립체 |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
KR102193652B1 (ko) | 2013-03-11 | 2020-12-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 고온 공정 챔버 리드 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US10426001B2 (en) * | 2013-03-15 | 2019-09-24 | Tokyo Electron Limited | Processing system for electromagnetic wave treatment of a substrate at microwave frequencies |
US9353439B2 (en) | 2013-04-05 | 2016-05-31 | Lam Research Corporation | Cascade design showerhead for transient uniformity |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US10741365B2 (en) * | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) * | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR101855654B1 (ko) * | 2016-12-23 | 2018-05-08 | 주식회사 테스 | 대면적 샤워헤드 어셈블리 |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN113056572B (zh) * | 2018-11-16 | 2023-09-05 | 株式会社爱发科 | 真空处理装置 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
KR102555826B1 (ko) * | 2019-01-07 | 2023-07-14 | 가부시키가이샤 아루박 | 진공 처리 장치 |
CN113261078A (zh) | 2019-01-07 | 2021-08-13 | 株式会社爱发科 | 真空处理装置、真空处理装置的清洁方法 |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20200093754A (ko) | 2019-01-29 | 2020-08-06 | 주성엔지니어링(주) | 샤워헤드 및 이를 포함하는 기판처리장치 |
JP7316863B2 (ja) * | 2019-07-19 | 2023-07-28 | 東京エレクトロン株式会社 | 第一導電性部材と第二導電性部材の接合構造と接合方法、及び基板処理装置 |
CN112192154A (zh) * | 2020-09-30 | 2021-01-08 | 靖江先锋半导体科技有限公司 | 刻蚀机用气体喷淋盘的加工工艺 |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63187619A (ja) * | 1987-01-30 | 1988-08-03 | Fuji Xerox Co Ltd | プラズマcvd装置 |
US4854263B1 (en) | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
DE3729208C1 (de) * | 1987-08-29 | 1988-12-22 | Rotring Werke Riepe Kg | Schneidvorrichtung |
JP2837993B2 (ja) * | 1992-06-19 | 1998-12-16 | 松下電工株式会社 | プラズマ処理方法およびその装置 |
JPH06124960A (ja) * | 1992-10-13 | 1994-05-06 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
JPH0831421B2 (ja) * | 1992-10-14 | 1996-03-27 | ヒラノ光音株式会社 | プラズマ電極装置 |
JP2662365B2 (ja) * | 1993-01-28 | 1997-10-08 | アプライド マテリアルズ インコーポレイテッド | 改良された排出システムを有する単一基板式の真空処理装置 |
US5439524A (en) * | 1993-04-05 | 1995-08-08 | Vlsi Technology, Inc. | Plasma processing apparatus |
US5647911A (en) | 1993-12-14 | 1997-07-15 | Sony Corporation | Gas diffuser plate assembly and RF electrode |
US5628829A (en) | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
AU2764095A (en) | 1994-06-03 | 1996-01-04 | Commissariat A L'energie Atomique | Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
TW335517B (en) * | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US5614026A (en) | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
US5844205A (en) * | 1996-04-19 | 1998-12-01 | Applied Komatsu Technology, Inc. | Heated substrate support structure |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5882411A (en) * | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US6114216A (en) | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
US5989652A (en) | 1997-01-31 | 1999-11-23 | Tokyo Electron Limited | Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications |
US6093645A (en) | 1997-02-10 | 2000-07-25 | Tokyo Electron Limited | Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation |
US5994678A (en) * | 1997-02-12 | 1999-11-30 | Applied Materials, Inc. | Apparatus for ceramic pedestal and metal shaft assembly |
US5968276A (en) * | 1997-07-11 | 1999-10-19 | Applied Materials, Inc. | Heat exchange passage connection |
JP3480271B2 (ja) | 1997-10-07 | 2003-12-15 | 東京エレクトロン株式会社 | 熱処理装置のシャワーヘッド構造 |
KR20010032205A (ko) | 1997-11-17 | 2001-04-16 | 래리 디. 맥밀란 | 박막의 안개화퇴적방법 및 장치 |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US5997649A (en) * | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
US6302057B1 (en) | 1998-09-15 | 2001-10-16 | Tokyo Electron Limited | Apparatus and method for electrically isolating an electrode in a PECVD process chamber |
US6123775A (en) * | 1999-06-30 | 2000-09-26 | Lam Research Corporation | Reaction chamber component having improved temperature uniformity |
US6254742B1 (en) | 1999-07-12 | 2001-07-03 | Semitool, Inc. | Diffuser with spiral opening pattern for an electroplating reactor vessel |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6170432B1 (en) * | 2000-01-24 | 2001-01-09 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
JP3501715B2 (ja) | 2000-03-21 | 2004-03-02 | シャープ株式会社 | プラズマプロセス装置 |
US6857387B1 (en) * | 2000-05-03 | 2005-02-22 | Applied Materials, Inc. | Multiple frequency plasma chamber with grounding capacitor at cathode |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
-
2000
- 2000-01-20 US US09/488,612 patent/US6477980B1/en not_active Expired - Lifetime
-
2001
- 2001-01-16 TW TW090100980A patent/TW477830B/zh not_active IP Right Cessation
- 2001-01-17 EP EP01300380A patent/EP1118693B1/de not_active Expired - Lifetime
- 2001-01-17 DE DE60125608T patent/DE60125608T2/de not_active Expired - Lifetime
- 2001-01-19 SG SG200100299A patent/SG87200A1/en unknown
- 2001-01-19 KR KR1020010003198A patent/KR100737228B1/ko active IP Right Grant
- 2001-01-22 JP JP2001013825A patent/JP4430253B2/ja not_active Expired - Lifetime
-
2002
- 2002-11-12 US US10/293,544 patent/US6823589B2/en not_active Expired - Lifetime
-
2003
- 2003-12-05 US US10/729,565 patent/US7017269B2/en not_active Expired - Lifetime
-
2005
- 2005-02-28 KR KR1020050016708A patent/KR20050033573A/ko not_active Application Discontinuation
-
2006
- 2006-11-02 KR KR1020060108003A patent/KR100882072B1/ko active IP Right Grant
- 2006-11-02 KR KR1020060108004A patent/KR100802682B1/ko active IP Right Grant
-
2008
- 2008-11-17 KR KR1020080113938A patent/KR20080108208A/ko not_active Application Discontinuation
-
2010
- 2010-02-11 KR KR1020100012871A patent/KR20100033988A/ko not_active Application Discontinuation
- 2010-12-14 KR KR1020100127659A patent/KR101287100B1/ko active IP Right Grant
Also Published As
Publication number | Publication date |
---|---|
KR20060122798A (ko) | 2006-11-30 |
US20040118345A1 (en) | 2004-06-24 |
KR100737228B1 (ko) | 2007-07-09 |
US6477980B1 (en) | 2002-11-12 |
EP1118693B1 (de) | 2007-01-03 |
EP1118693A2 (de) | 2001-07-25 |
TW477830B (en) | 2002-03-01 |
KR100882072B1 (ko) | 2009-02-10 |
US6823589B2 (en) | 2004-11-30 |
KR20100033988A (ko) | 2010-03-31 |
KR20050033573A (ko) | 2005-04-12 |
JP2001284271A (ja) | 2001-10-12 |
DE60125608D1 (de) | 2007-02-15 |
EP1118693A3 (de) | 2001-10-17 |
KR20110004343A (ko) | 2011-01-13 |
SG87200A1 (en) | 2002-03-19 |
US7017269B2 (en) | 2006-03-28 |
KR101287100B1 (ko) | 2013-07-17 |
KR20010076391A (ko) | 2001-08-11 |
KR20060121781A (ko) | 2006-11-29 |
US20030066607A1 (en) | 2003-04-10 |
KR20080108208A (ko) | 2008-12-12 |
JP4430253B2 (ja) | 2010-03-10 |
KR100802682B1 (ko) | 2008-02-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE60125608T2 (de) | Hängende Gasverteilungvorrichtung für Plasmakammer | |
DE112008002015B4 (de) | Weiterentwickelte Bearbeitungskammer für mehrere Werkstücke und Verfahren zu deren Erzeugung | |
DE69736977T2 (de) | Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger | |
DE112008001548B4 (de) | Plasmabearbeitungsvorrichtung und Plasmabearbeitungsverfahren | |
DE69927966T2 (de) | Hochtemperatur-, mehrschicht-, legierungsheizanordnung | |
DE69530801T2 (de) | Montageelement und methode zum klemmen eines flachen, dünnen und leitfähigen werkstückes | |
DE60034862T2 (de) | Hochtemperatur elektrostatischer Halter | |
DE19980683C2 (de) | Gestapelte Duschkopfeinheit zum Leiten von Gasen und HF-Leistung in eine Reaktionskammer | |
DE202010004773U1 (de) | Dichtungselement mit Postionierungsmerkmal für eine festgeklemmte monolithische Gasverteilungselektrode | |
DE69635640T2 (de) | Plasmabearbeitungsgerät | |
CN101647090B (zh) | 射频遮板及沉积方法 | |
DE69814687T2 (de) | Plasmavorrichtung mit einem mit einer spannungsquelle verbundenen metallteil, das zwischen einer rf-plasma-anregungsquelle und dem plasma angeordnet ist | |
DE69628903T2 (de) | Plasmareaktoren für die Bearbeitung von Halbleiterscheiben | |
DE69830310T2 (de) | Multifunktionaler verfahrensraum für cvd-verfahren | |
DE202011109320U1 (de) | Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung | |
DE102009014067A1 (de) | Plasmabearbeitungsvorrichtung | |
DE202010012763U1 (de) | Festgeklemmte monolithische Gasverteilungselektrode | |
DE112008001130T5 (de) | Plasmabearbeitungsvorrichtung, Energieversorgungsvorrichtung sowie Verfahren zum Betrieb der Plasmabearbeitungsvorrichtung | |
DE10392996T5 (de) | Verbesserungen für Duschköpfe | |
DE69815163T2 (de) | Verfahren und Vorrichtung zur Abscheidung von Titanschichten | |
DE69838823T2 (de) | Induktiv-Typ Plasmabehandlungskammer | |
DE60220874T2 (de) | Magnetronvorrichtung | |
DE19602634C2 (de) | Plasma-CVD-Vorrichtung | |
DE69907687T2 (de) | Plasmabearbeitungsvorrichtung mit elektrisch leitender Wand | |
DE4217900A1 (de) | Anordnung einer mikrowellendurchlässigen Scheibe in einem Hohlleiter und Verfahren zur Einbringung dieser Scheibe |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition |