DE60125608D1 - Hängende Gasverteilungvorrichtung für Plasmakammer - Google Patents

Hängende Gasverteilungvorrichtung für Plasmakammer

Info

Publication number
DE60125608D1
DE60125608D1 DE60125608T DE60125608T DE60125608D1 DE 60125608 D1 DE60125608 D1 DE 60125608D1 DE 60125608 T DE60125608 T DE 60125608T DE 60125608 T DE60125608 T DE 60125608T DE 60125608 D1 DE60125608 D1 DE 60125608D1
Authority
DE
Germany
Prior art keywords
distribution device
gas distribution
plasma chamber
hanging gas
hanging
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60125608T
Other languages
English (en)
Other versions
DE60125608T2 (de
Inventor
John M White
Ernst Keller
Wendell T Blonigan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23940398&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE60125608(D1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE60125608D1 publication Critical patent/DE60125608D1/de
Publication of DE60125608T2 publication Critical patent/DE60125608T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49428Gas and water specific plumbing component making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
DE60125608T 2000-01-20 2001-01-17 Hängende Gasverteilungvorrichtung für Plasmakammer Expired - Lifetime DE60125608T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/488,612 US6477980B1 (en) 2000-01-20 2000-01-20 Flexibly suspended gas distribution manifold for plasma chamber
US488612 2000-01-20

Publications (2)

Publication Number Publication Date
DE60125608D1 true DE60125608D1 (de) 2007-02-15
DE60125608T2 DE60125608T2 (de) 2007-11-15

Family

ID=23940398

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60125608T Expired - Lifetime DE60125608T2 (de) 2000-01-20 2001-01-17 Hängende Gasverteilungvorrichtung für Plasmakammer

Country Status (7)

Country Link
US (3) US6477980B1 (de)
EP (1) EP1118693B1 (de)
JP (1) JP4430253B2 (de)
KR (7) KR100737228B1 (de)
DE (1) DE60125608T2 (de)
SG (1) SG87200A1 (de)
TW (1) TW477830B (de)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
DE10041698A1 (de) * 2000-08-24 2002-03-14 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Festkörperschicht unter Verwendung eines Hilfsstoffes
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US20030087488A1 (en) * 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
EP1523761A1 (de) 2002-06-21 2005-04-20 Applied Materials, Inc. Übergabekammer für behandlungssystem unter vakuum
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US7189491B2 (en) * 2003-12-11 2007-03-13 Az Electronic Materials Usa Corp. Photoresist composition for deep UV and process thereof
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223983A1 (en) * 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
EP1738251A2 (de) * 2004-04-16 2007-01-03 Cascade Basic Research Corp. Modellierung von verhältnissen in einer umgebung mit vernetzter verbindung
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US20060011139A1 (en) * 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
US7584714B2 (en) * 2004-09-30 2009-09-08 Tokyo Electron Limited Method and system for improving coupling between a surface wave plasma source and a plasma space
US20060075970A1 (en) * 2004-10-13 2006-04-13 Guenther Rolf A Heated substrate support and method of fabricating same
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
US20060177772A1 (en) * 2005-02-10 2006-08-10 Abdallah David J Process of imaging a photoresist with multiple antireflective coatings
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP2007042744A (ja) * 2005-08-01 2007-02-15 Sharp Corp プラズマ処理装置
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070138134A1 (en) * 2005-12-19 2007-06-21 Chuan-Han Hsieh Etching apparatus and etching method
US8216374B2 (en) 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
KR100738876B1 (ko) * 2006-02-21 2007-07-12 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
KR100711184B1 (ko) * 2006-03-27 2007-04-24 주식회사 마이크로텍 샤워헤드 브래킷
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4800845B2 (ja) * 2006-05-30 2011-10-26 積水化学工業株式会社 プラズマ処理装置
JP5590886B2 (ja) 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
WO2008052047A2 (en) * 2006-10-24 2008-05-02 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
CN101205605B (zh) * 2006-12-18 2012-01-11 东京毅力科创株式会社 用于热增强和等离子体增强气相沉积的装置及操作方法
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7988875B2 (en) * 2007-02-08 2011-08-02 Applied Materials, Inc. Differential etch rate control of layers deposited by chemical vapor deposition
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
DE102007022431A1 (de) * 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
KR20080100057A (ko) * 2007-05-11 2008-11-14 주성엔지니어링(주) 결정질 실리콘 태양전지의 제조방법과 그 제조장치 및시스템
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US7875486B2 (en) 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US20090071406A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
EP2232958A4 (de) * 2007-12-25 2011-01-19 Applied Materials Inc Asymmetrische hf-ansteuerung für die elektrode einer plasmakammer
EP2245912A2 (de) * 2008-01-31 2010-11-03 Applied Materials, Inc. Mehrphasen-hf-steuerung der elektrode einer plasmakammer
JP5230225B2 (ja) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US9484213B2 (en) 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP5271586B2 (ja) * 2008-04-09 2013-08-21 東京エレクトロン株式会社 プラズマ処理容器およびプラズマ処理装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101555955B1 (ko) 2008-06-19 2015-09-25 주성엔지니어링(주) 기판형 태양전지의 제조방법
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
KR100967612B1 (ko) * 2008-07-09 2010-07-05 주식회사 메카로닉스 삼중 샤워헤드 및 이를 포함하는 원자층 증착장치
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
KR20110074854A (ko) * 2008-08-28 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법 및 장치
TWI475708B (zh) * 2008-09-01 2015-03-01 Applied Materials Inc 利用流量梯度設計以沉積均勻矽膜之方法與設備
US20100112212A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
JP5262878B2 (ja) * 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US20100252047A1 (en) 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101059064B1 (ko) 2009-06-08 2011-08-24 주식회사 테스 대면적 가스분사장치
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US8691047B2 (en) * 2009-11-17 2014-04-08 Applied Materials, Inc. Large area plasma processing chamber with at-electrode RF matching
JP5721132B2 (ja) * 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
KR200457817Y1 (ko) * 2009-12-28 2012-01-05 주식회사 케이씨텍 원자층 증착장치의 샤워헤드 유닛
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
JP2013524510A (ja) 2010-03-30 2013-06-17 アプライド マテリアルズ インコーポレイテッド p型拡散層の上に負荷電パッシベーション層を形成する方法
CN102918180B (zh) 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
JP5045786B2 (ja) * 2010-05-26 2012-10-10 東京エレクトロン株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
EP2426737A1 (de) 2010-09-03 2012-03-07 Applied Materials, Inc. Herstellungsverfahren für Dünnfilmsolarzelle, Abscheidungsverfahren für Solarzellen-Vorläuferschichtstapel und Solarzellen-Vorläuferschichtstapel
KR101009506B1 (ko) * 2010-09-08 2011-01-18 주식회사 아키덤엔지니어링건축사사무소 전주용 완금밴드장치
EP2439792A1 (de) 2010-10-05 2012-04-11 Applied Materials, Inc. Herstellungsverfahren für Dünnfilmsolarzelle, Abscheidungsverfahren für Solarzellen-Vorläuferschichtstapel und Solarzellen-Vorläuferschichtstapel
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012113441A1 (en) 2011-02-21 2012-08-30 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
EP2523227A1 (de) 2011-05-13 2012-11-14 Applied Materials, Inc. Herstellungsverfahren für Dünnschicht-Solarzellen, Abscheidungsverfahren für eine TCO-Schicht und Solarzellenvorläuferschichtstapel
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101441478B1 (ko) * 2012-07-09 2014-09-17 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR101387518B1 (ko) * 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101468541B1 (ko) * 2012-10-25 2014-12-04 주식회사 에스에프에이 가스 분배 조립체
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
WO2014164743A1 (en) * 2013-03-11 2014-10-09 Applied Materials, Inc. High temperature process chamber lid
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10426001B2 (en) * 2013-03-15 2019-09-24 Tokyo Electron Limited Processing system for electromagnetic wave treatment of a substrate at microwave frequencies
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7057442B2 (ja) * 2018-11-16 2022-04-19 株式会社アルバック 真空処理装置
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
TWI722744B (zh) * 2019-01-07 2021-03-21 日商愛發科股份有限公司 真空處理裝置
TWI766219B (zh) 2019-01-07 2022-06-01 日商愛發科股份有限公司 真空處理裝置及真空處理裝置之清潔方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200093754A (ko) 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
JP7316863B2 (ja) * 2019-07-19 2023-07-28 東京エレクトロン株式会社 第一導電性部材と第二導電性部材の接合構造と接合方法、及び基板処理装置
CN112192154A (zh) * 2020-09-30 2021-01-08 靖江先锋半导体科技有限公司 刻蚀机用气体喷淋盘的加工工艺

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63187619A (ja) * 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE3729208C1 (de) * 1987-08-29 1988-12-22 Rotring Werke Riepe Kg Schneidvorrichtung
JP2837993B2 (ja) * 1992-06-19 1998-12-16 松下電工株式会社 プラズマ処理方法およびその装置
JPH06124960A (ja) * 1992-10-13 1994-05-06 Sanyo Electric Co Ltd 半導体装置の製造方法
JPH0831421B2 (ja) * 1992-10-14 1996-03-27 ヒラノ光音株式会社 プラズマ電極装置
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
WO1995033866A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5989652A (en) 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
JP2001523889A (ja) 1997-11-17 2001-11-27 シメトリックス・コーポレーション 薄膜のミスト状付着を行うための方法及び装置
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3501715B2 (ja) 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け

Also Published As

Publication number Publication date
KR20050033573A (ko) 2005-04-12
KR20110004343A (ko) 2011-01-13
KR100882072B1 (ko) 2009-02-10
KR20060122798A (ko) 2006-11-30
KR20100033988A (ko) 2010-03-31
KR20060121781A (ko) 2006-11-29
EP1118693B1 (de) 2007-01-03
KR20080108208A (ko) 2008-12-12
US20030066607A1 (en) 2003-04-10
EP1118693A2 (de) 2001-07-25
US7017269B2 (en) 2006-03-28
DE60125608T2 (de) 2007-11-15
EP1118693A3 (de) 2001-10-17
KR20010076391A (ko) 2001-08-11
KR100802682B1 (ko) 2008-02-12
KR100737228B1 (ko) 2007-07-09
US20040118345A1 (en) 2004-06-24
US6823589B2 (en) 2004-11-30
JP4430253B2 (ja) 2010-03-10
US6477980B1 (en) 2002-11-12
KR101287100B1 (ko) 2013-07-17
TW477830B (en) 2002-03-01
JP2001284271A (ja) 2001-10-12
SG87200A1 (en) 2002-03-19

Similar Documents

Publication Publication Date Title
DE60125608D1 (de) Hängende Gasverteilungvorrichtung für Plasmakammer
NO20024383L (no) Kondenseringsprosess for naturgass
DE50005528D1 (de) Vorrichtung für gasbrenner
DE60220523D1 (de) Gasbrenner für trockner
DE60220126D1 (de) Wechselstrom-Gasentladungsanzeige
AU9491401A (en) Hydrocarbon gas processing
DE60121044D1 (de) Gasdichtung für injektoren
ITMI20011809A0 (it) Dispositivo per trattare gas di scarico
DE60136419D1 (de) Verbesserte Gasentladungs-Anzeigeeinrichtung
DE60128229D1 (de) Spule für Vakuum-Plasmabehandlungsvorrichtung
DE50107868D1 (de) Atmosphärischer Gasbrenner
GB0010787D0 (en) Instrument for combustible gas detection
GB2369309B (en) Gas analysing apparatus
DE50102092D1 (de) Gaserzeugungsvorrichtung
GB0118937D0 (en) Gas measurement
DE60100059T2 (de) Gasbrenner für Kochherd
GB2359140B (en) Gas meter
GB0303082D0 (en) Gas air distribution
IT1319730B1 (it) Dispositivo accendigas elettrico
GB0129343D0 (en) Device for lubricant-cooled gas compressor
GB0105286D0 (en) Device for testing the operation of gas detectors
DE60208720D1 (de) Wandhalter für abgabevorrichtung
IT250561Y1 (it) Accenditore elettrico particolarmente per gas
DE60205210D1 (de) Plasma cvd Vorrichtung
TW450345U (en) Device for preventing gas from leakage

Legal Events

Date Code Title Description
8364 No opposition during term of opposition