DE60027401T2 - Radikal-unterstützte sequentielle gasphasenabscheidung - Google Patents

Radikal-unterstützte sequentielle gasphasenabscheidung Download PDF

Info

Publication number
DE60027401T2
DE60027401T2 DE60027401T DE60027401T DE60027401T2 DE 60027401 T2 DE60027401 T2 DE 60027401T2 DE 60027401 T DE60027401 T DE 60027401T DE 60027401 T DE60027401 T DE 60027401T DE 60027401 T2 DE60027401 T2 DE 60027401T2
Authority
DE
Germany
Prior art keywords
metal
precursor
layer
species
metal precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60027401T
Other languages
English (en)
Other versions
DE60027401D1 (de
Inventor
Ofer Branchburg SNEH
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genus Inc
Original Assignee
Genus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus Inc filed Critical Genus Inc
Application granted granted Critical
Publication of DE60027401D1 publication Critical patent/DE60027401D1/de
Publication of DE60027401T2 publication Critical patent/DE60027401T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft das Gebiet der chemischen Gasphasenabscheidung und insbesondere neue Verfahren und eine Vorrichtung zum Abscheiden von Schichten durch Atomschichtabscheidung.
  • ALLGEMEINER STAND DER TECHNIK
  • Bei der Herstellung von integrierten Schaltungen ist die Abscheidung von dünnen Schichten vieler reiner Materialien und Verbundmaterialien notwenig und viele Techniken sind entwickelt worden, um solche Abscheidungen zu erreichen. In den letzten Jahren ist die vorherrschende Technik zur Abscheidung von dünnen Schichten im Stand der Technik die chemische Gasphasenabscheidung (CVD) gewesen, die nachweislich eine bessere Fähigkeit aufweist, gleichförmige gleichmäßige Beschichtungen bereitzustellen und in Wegen und über andere unebene Merkmale mit einem hohen Querschnittsverhältnis in der Halbleiterscheibentopologie relativ gleichmäßige Beschichtungen bereitzustellen. Da die Vorrichtungsdichte kontinuierlich gestiegen und die Geometrie immer komplizierter geworden ist, ist sogar die bessere gleichmäßige Beschichtung von CVD-Techniken herausgefordert worden und neue und bessere Techniken sind notwendig.
  • Der Ansatz einer Variante der CVD, nämlich die Atomschichtabscheidung, ist zur Verbesserung der Gleichförmigkeit und Gleichmäßigkeit berücksichtigt worden, insbesondere für eine Abscheidung bei niedrigen Temperaturen. Jedoch erfordert die praktische Umsetzung dieser Technologie eine Lösung im Hinblick auf eine höhere Reinheit und einen höheren Durchsatz. Dieses Patent richtet sich an diese Anforderungen.
  • ATOMSCHICHTABSCHEIDUNG
  • Auf dem Gebiet der CVD ist ein Verfahren, das als Atomschichtabscheidung (ALD) bekannt ist, als viel versprechender Kandidat entstanden, um die Fähigkeiten der CVD-Techniken zu erweitern, und wird von Herstellern von Halbleitergeräten schnell entwickelt, um die Eigenschaften der chemischen Dampfabscheidung weiter zu verbessern. Die ALD ist ein Verfahren, das ursprünglich Atomschichtepitaxie genannt wurde und für das Atomic Layer Epitaxy, herausgegeben von T. Suntola und M. Simpson und veröffentlicht im Jahre 1990 von Blackie, Glasgo und London, eine kompetente Referenz ist. Diese Veröffentlichung wird hierin durch Bezugnahme aufgenommen.
  • Im Allgemeinen ist die ALD ein Verfahren, bei dem herkömmliche CVD-Verfahren in Schritte einzelner Schichtabscheidungen aufgeteilt werden, wobei jeder getrennte Abscheidungsschritt theoretisch bei einer einzigen molekularen oder atomaren Einzelschichtdicke gesättigt wird und sich selbst abschließt.
  • Die Abscheidung ist das Ergebnis von chemischen Reaktionen zwischen reaktionsfähigen molekularen Vorläufern und dem Substrat. Ähnlich wie bei der CVD werden Elemente, welche die Schicht zusammensetzen, als molekulare Vorläufer geliefert. Die Nettoreaktion muss die reine gewünschte Schicht abscheiden und die „zusätzlichen" Atome, welche die molekularen Vorläufer (Liganden) bilden, beseitigen. Bei der CVD werden die molekularen Vorläufer gleichzeitig in den CVD-Reaktor gefördert. Ein Substrat wird bei einer Temperatur gehalten, die optimiert ist, um die chemische Reaktion zwischen den molekularen Vorläufern gleichzeitig mit der effizienten Desorption von Nebenprodukten zu fördern. Dementsprechend scheidet die Reaktion die gewünschte reine Schicht ab.
  • Bei ALD-Anwendungen werden die molekularen Vorläufer in den ALD-Reaktor getrennt eingeführt. Dies wird in die Praxis umgesetzt, indem jeweils ein Vorläufer eingeleitet wird, das heißt, ein Metallvorläufer -MLx (M = Al, W, Ta, Si usw.), der ein Metallelement -M enthält, das an atomare oder molekulare Liganden -L gebunden ist, um ein flüchtiges Molekül zu erzeugen. Nach der Metallvorläuferreaktion folgt in der Regel das Ausspülen mit Inertgas, um diesen Vorläufer vor der getrennten Einführung des anderen Vorläufers aus der Kammer zu entfernen. Eine ALD-Reaktion findet nur dann statt, wenn die Oberfläche dafür vorbereitet ist, direkt mit dem molekularen Vorläufer zu reagieren. Dementsprechend wird die Oberfläche in der Regel dahingehend vorbereitet, wasserstoffhaltige Liganden -AH aufzuweisen, die mit dem Metallvorläufer reagieren können. Oberflächen-Molekül-Reaktionen können mit all den Liganden auf der Oberfläche reagieren und eine einzelne Schicht des Metalls mit seinem passivierenden Ligand: Substrat-AH + MLx → Substrat-AMLy + HL abscheiden, wobei HL das Nebenprodukt der Austauschreaktion ist. Während der Reaktion werden die anfänglichen Oberflächenliganden -AH verbraucht und die Oberfläche wird mit L-Liganden bedeckt, die nicht weiter mit dem Metallvorläufer -MLx reagieren können. Aus diesem Grund sättigt sich die Reaktion selbst, wenn alle anfänglichen Liganden durch die -MLy-Spezies ersetzt werden.
  • Nach Vollendung der Metallvorläuferreaktion wird der überschüssige Vorläufer in der Regel aus dem Reaktor entfernt, bevor ein anderer Vorläufer eingeführt wird. Die zweite Vorläuferart wird benutzt, um die Oberflächenreaktionsfähigkeit zu dem Metallvorläufer wiederherzustellen, das heißt, die L-Liganden zu beseitigen und die AH-Liganden erneut abzuscheiden.
  • Die meisten ALD-Verfahren sind angewendet worden, um Verbundschichten abzuscheiden. In diesem Fall ist der zweite Vorläufer aus einem gewünschten (gewöhnlich nichtmetallischen) Element -A (das heißt, O, N, S) und Wasserstoff zusammengesetzt, der zum Beispiel H2O, NH3 oder H2S benutzt. Die Reaktion: -ML + AL2 → -M-AH + HL (der Einfachheit halber sind die chemischen Reaktionen nicht ausgeglichen) wandelt die Oberfläche in eine AH-bedeckte Oberfläche zurück. Das gewünschte zusätzliche Element -A wird abgeschieden und die Reaktion verbraucht die reaktionsfähigen Orte (dieses Mal die L-abgeschlossenen Orte) und sättigt sich selbst, wenn die reaktionsfähigen Orte vollkommen erschöpft sind.
  • Die Abfolge von Oberflächenreaktionen, welche die Oberfläche zu dem Ausgangspunkt wiederherstellt, wird ALD-Abscheidungszyklus genannt. Die Wiederherstellung zu der Ausgangsoberfläche ist der Hauptgedanke der ALD. Er impliziert, dass Schichten in gleichen gemessenen Abfolgen abgetragen werden können, die im Hinblick auf die chemische Kinetik, Abscheidung pro Zyklus, Zusammensetzung und Dicke identisch sind. Selbstsättigende Oberflächenreaktionen machen die ALD für die Nichtgleichförmigkeit des Transports entweder aufgrund der Strömungsverfahrenstechnik oder Oberflächentopografie (das heißt, Abscheidung in Strukturen mit einem hohen Querschnittsverhältnis) unempfindlich. Ein nicht gleichförmiger Fluss kann nur in unterschiedlichen Bereichen zu einer unterschiedlichen Vollendungszeit führen. Wenn jedoch ermöglicht wird, dass jede der Reaktionen auf dem gesamten Bereich vollendet wird, zieht die unterschiedliche Vollendungskinetik keine Nachteile nach sich.
  • Wie es oft bei der Verfahrensentwicklung der Fall ist, erfüllen die anfangs versprochenen Vorteile einer neuen Technik letztendlich nicht ihr volles anfängliches Versprechen. Leider weist die ALD ein ernsthaftes grundlegendes Problem auf. Anders als bei CVD-Reaktionen, die sich in einem kontinuierlichen stabilen Zustand befinden, folgen die ALD-Reaktionen der Kinetik einer Molekül-Oberflächen-Interaktion.
  • Die Kinetik von Molekül-Oberflächen-Reaktionen hängt von der einzelnen Reaktionsgeschwindigkeit zwischen einem molekularen Vorläufer und einem reaktionsfähigen Oberflächenort und der Anzahl verfügbarer reaktionsfähiger Orte ab. Wenn die Reaktion vollendet wird, wird die Oberfläche von einer reaktionsfähigen in eine nicht reaktionsfähige Oberfläche umgewandelt. Folglich verlangsamt sich die Reaktionsgeschwindigkeit während der Abscheidung. Im einfachsten Fall ist die Geschwindigkeit dN/dt proportional zu der Anzahl der reaktionsfähigen Orte dN/dt = –kN, wobei N die Anzahl der reaktionsfähigen Orte und k die Reaktionsgeschwindigkeit (eines einzigen Ortes) ist. Auf das Eliminieren der reaktionsfähigen Orte (oder Wachsen der schon zur Reaktion gebrachten Orte) folgt eine exponentielle Zeitabhängigkeit kN(t) = kN0exp(–kt). Diese grundlegende Eigenschaft der Molekül-Oberflächen-Kinetik wurde nach dem bedeutenden Wissenschaftler Langmuir benannt, der im Stand der Technik recht gut bekannt ist.
  • Die Auslegung der Einschränkungen der Langmuir-Kinetik stellt einen schwerwiegenden Nachteil der ALD und eine ernsthafte Abweichung von dem idealen Bild dar. Dementsprechend schließen sich die selbstabschließenden Reaktionen niemals wirklich selbst ab (sie würden unendlich viel Zeit benötigen, da die Geschwindigkeit exponentiell sinkt). Dies bedeutet, dass die Oberfläche unter praktischen Bedingungen nach einem Abscheidungszyklus niemals vollständig zur Reaktion gebracht wird. Wenn die Oberfläche nicht vollständig zur Reaktion gebracht wird, bleiben unerwünschte Elemente in der Schicht zurück. Wenn zum Beispiel die MLx-Reaktion die Oberflächen-AH-Orte nicht vollkommen verbrauchen kann, weist die Schicht eine H-Aufnahme auf. Wenn die AHy-Reaktion nicht bis zur Vollendung ausgeführt wird, ist gleichermaßen eine unerwünschte L-Aufnahme unvermeidbar. Die Qualität der Schicht hängt eindeutig von dem Grad der Verunreinigung ab. Der Durchsatz-Qualitäts-Kompromiss ist besonders besorgniserregend, da er einen exponentiellen Durchsatzabzug aufweist, um eine Reduzierung der Verunreinigungsgrade zu erreichen.
  • Bei der herkömmlichen Atomschichtabscheidung muss ein niedriger Durchsatz akzeptiert werden, um eine Schicht von hoher Reinheit zu erhalten, oder Schichten von geringerer Reinheit akzeptieren, um einen höheren Durchsatz zu erhalten. Es wird eindeutig eine Vorrichtung und Verfahren benötigt, welche nicht nur die Langmuir-Einschränkungen überwinden, sondern auch gleichzeitig Schichten mit einer höherer Reinheit bereitstellen als derjenigen, die in Verfahren des Standes der Technik verfügbar war. Solch eine Vorrichtung und solche Verfahren werden in den Ausführungsformen der vorliegenden Erfindung bereitgestellt, die nachstehend ausführlich beschrieben werden.
  • WO-A-96/17107 offenbart ein Verfahren zur Atomschichtabscheidung, das die Schritte Bilden einer Schicht eines Reaktionspartners, der TiCl4, Trimethylaluminium, ZnCl2 oder andere Metallchloride sein kann, Ausspülen mit Inertgas und danach Reagieren der Schicht mit einer Radikalspezies umfasst.
  • Shin Yoko et al.: „Atomic layer controlled deposition of silicon nitride and in situ growth observation by infrared reflection absorption spectroscopy", Applied Surface Science, Band 112, März 1997 (1997-03), S. 75–81, XP002294371, offenbart eine Vorrichtung mit einer Quelle von Radikalen als zweite Reaktionspartnerquelle.
  • Die vorliegende Erfindung stellt in einem ersten Aspekt ein Verfahren zum Abscheiden eines Metalloxids auf einer Substratoberfläche in einer Beschichtungskammer bereit, das die folgenden Schritte umfasst: (a) Abscheiden einer einzelnen Metallschicht auf der Substratoberfläche, indem man ein das Metall enthaltendes molekulares Metallvorläufergas oder einen molekularen Metallvorläuferdampf über eine Oberfläche des Substrats fließen lässt, wobei die Oberfläche mit einer ersten reaktiven Spezies gesättigt ist, mit welcher der Vorläufer unter Abscheidung des Metalls und Bildung eines Reaktionsprodukts reagiert und eine Metalloberfläche zurücklässt, die mit Liganden von dem Metallvorläufer bedeckt und daher nicht mehr mit dem Vorläufer reaktionsfähig ist; (b) Beenden der Vorläufergas- oder -dampfströmung; (c) Ausspülen des Vorläufers mit Inertgas; (d) Einleiten einer ersten Radikalspezies in die Kammer und über die Oberfläche, wobei die Radikalspezies äußerst reaktionsfähig mit dem Reaktionsprodukt ist und sich mit dem Reaktionsprodukt verbindet, um eine flüchtige Spezies zu erzeugen und die Oberfläche mit der ersten Radikalspezies zu sättigen; (e) Einleiten von Sauerstoffradikalen in die Kammer zur Bindung mit der im Schritt (a) abgeschiedenen monomolekularen Metallschicht und zur Bildung eines Metalloxids; (f) Einleiten einer dritten Radikalspezies in die Kammer, welche die Oberfläche in Vorbereitung für einen nächsten Metallabscheidungsschritt mit der ersten reaktiven Spezies abschließt; und (g) Wiederholen der Schritte der Reihe nach, bis eine Verbundschicht der gewünschten Dicke entsteht.
  • Die erste und die dritte Radikalspezies kann beide atomarer Wasserstoff sein und die Metalloberfläche in Schritt (f) kann mit einer Hydroxylspezies abgeschlossen werden, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  • Die Schritte (e) und (f) können mit atomarem Sauerstoff und Wasserstoff wiederholt werden, um die Schichtqualität zu verbessern.
  • Die schritte (e) und (f) können zu einem Schritt kombiniert werden, wobei die Oberfläche gleichzeitig mit Wasserstoff- und Sauerstoffatomen zur Reaktion gebracht wird.
  • Der Metallvorläufer kann Tantalpentachlorid sein und die Schicht ist Tantalpentoxid, oder der Metallvorläufer ist Trimethylaluminium oder Aluminiumtrichlorid und die Schicht Aluminiumoxid, oder der Metallvorläufer ist Titantetrachlorid oder Titantetraiodid und die Schicht ist Titanoxid, oder der Metallvorläufer ist Niobiumpentachlorid und die Schicht ist Niobiumpentoxid, oder der Metallvorläufer ist Zirconiumtetrachlorid und die Schicht ist Zirconiumoxid, oder der Metallvorläufer ist Hafniumtetrachlorid und die Schicht ist Hafniumoxid, oder der Metallvorläufer ist Zinkdichlorid und die Schicht ist Zinkoxid, oder der Metallvorläufer ist Molybdänhexafluorid oder Molybdänpentachlorid und die Schicht ist Molybdänoxid, oder der Metallvorläufer ist Mangandichlorid und die Schicht ist Manganoxid, oder der Metallvorläufer ist Zinntetrachlorid und die Schicht ist Zinnoxid, oder der Metallvorläufer ist Indiumtrichlorid oder Trimethylindium und die Schicht ist Indiumoxid, oder der Metallvorläufer ist Wolframhexafluorid und die Schicht ist Wolframoxid, oder der Metallvorläufer ist Siliciumtetrachlorid und die Schicht ist Siliciumdioxid.
  • die erste Radikalspezies kann atomarer Wasserstoff sein und die Schritte (e) und (f) können mit Verwendung von OH-Radikalen zu einem Schritt vereinigt werden und die Metalloberfläche wird in Schritt (f) mit einer Hydroxylspezies abgeschlossen, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  • In einem anderen Aspekt der Erfindung stellt die Erfindung ein Verfahren zum Abscheiden eines Metallnitrids auf einer Substratoberfläche in einer Beschichtungskammer bereit, mit den folgenden Schritten: (a) Abscheiden einer einzelnen Metallschicht auf der Substratoberfläche, indem man ein das Metall enthaltendes Metallvorläufergas oder einen Metallvorläuferdampf über eine Oberfläche des Substrats fließen lässt, wobei die Oberfläche mit einer ersten reaktiven Spezies gesättigt ist, mit welcher der Vorläufer unter Abscheidung des Metalls und Bildung eines Reaktionsprodukts reagiert und eine Metalloberfläche zurücklässt, die mit Liganden von dem Metallvorläufer bedeckt und daher nicht mehr mit dem Vorläufer reaktionsfähig ist; (b) Beenden der Vorläufergas- oder -dampfströmung; (c) Ausspülen des Vorläufers mit Inertgas; (d) Einleiten einer ersten Radikalspezies in die Kammer und über die Oberfläche, wobei die Radikalspezies äußerst reaktionsfähig mit den Oberflächenliganden der Metallvorläuferschicht ist und die Liganden als Reaktionsprodukt beseitigt und außerdem die Oberfläche sättigt; (e) Einleiten von Stickstoffradikalen in die Kammer zur Bindung mit der im Schritt (a) abgeschiedenen einzelnen Metallschicht und zur Bildung eines Metallnitrids; (f) Einleiten einer dritten Radikalspezies in die Kammer, welche die Oberfläche in Vorbereitung für einen nächsten Metallabscheidungsschritt mit der ersten reaktiven Spezies abschließt; und (g) Wiederholen der Schritte der Reihe nach, bis eine Verbundschicht der gewünschten Dicke entsteht.
  • Die erste und die dritte atomare Radikalspezies können beide atomarer Wasserstoff sein und die Metalloberfläche in Schritt (f) kann mit einer Aminspezies abgeschlossen werden, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  • Die Schritte (e) und (f) können zu einem Schritt kombiniert werden, wobei die Oberfläche gleichzeitig mit Wasserstoff- und Stickstoffatomen zur Reaktion gebracht wird.
  • Der Metallvorläufer kann Wolframhexafluorid und die Schicht Wolframnitrid sein.
  • Der Metallvorläufer kann Tantalpentachlorid und die Schicht Tantalnitrid sein, oder der Metallvorläufer kann Aluminiumtrichlorid oder Trimethylaluminium und die Schicht Aluminiumnitrid sein, oder der Metallvorläufer kann Titantetrachlorid und die Schicht Titannitrid sein, oder der Metallvorläufer kann Siliciumtetrachlorid oder Dichlorsilan und die Schicht Siliciumnitrid sein, oder der Metallvorläufer ist Trimethylgallium und die Schicht Galliumnitrid.
  • Die erste Radikalspezies kann atomarer Wasserstoff sein und die Schritte (e) und (f) können mit Verwendung von NH- und NH2-Radikalen vereinigt werden und die Metalloberfläche in Schritt (f) kann mit einer Aminspezies abgeschlossen werden, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  • In einem weiteren Aspekt stellt die Erfindung ein Verfahren zum Abscheiden einer Verbundschicht auf einer Substratoberfläche in einer Beschichtungskammer bereit, mit den folgenden Schritten: (a) Abscheiden einer einzelnen Metallschicht auf der Substratoberfläche, indem man ein das Metall enthaltendes molekulares Metallvorläufergas oder einen molekularen Metallvorläuferdampf über eine Oberfläche des Substrats fließen lässt, wobei die Oberfläche mit einer ersten reaktiven Spezies gesättigt ist, mit welcher der Vorläufer unter Abscheidung des Metalls und Bildung eines Reaktionsprodukts reagiert und eine Metalloberfläche zurücklässt, die mit Liganden von dem Metallvorläufer bedeckt und daher nicht mehr mit dem Vorläufer reaktionsfähig ist; (b) Beenden der Vorläufergas- oder -dampfströmung; (c) Ausspülen des Vorläufers mit Inertgas; (d) Einleiten einer ersten Radikalspezies in die Kammer und über die Oberfläche, wobei die Radikalspezies äußerst reaktionsfähig mit dem Reaktionsprodukt ist und sich mit dem Reaktionsprodukt verbindet, um eine flüchtige Spezies zu erzeugen und die Oberfläche mit der ersten Radikalspezies zu sättigen; (e) Einleiten einer nichtmetallischen Radikalspezies in die Kammer zur Bindung mit der im Schritt (a) abgeschiedenen einzelnen Metallschicht und zur Bildung einer Metallverbundschicht; (f) Einleiten einer dritten Radikalspezies in die Kammer, welche die Oberfläche in Vorbereitung für einen nächsten Metallabscheidungsschritt mit der ersten reaktiven Spezies abschließt; und (g) Wiederholen der Schritte der Reihe nach, bis eine Verbundschicht der gewünschten Dicke entsteht.
  • Die erste und die dritte Radikalspezies können beide atomarer Wasserstoff sein und die Metalloberfläche in Schritt (f) kann mit einer Hydridspezies des nichtmetallischen Elements abgeschlossen werden, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  • Die Schritte (e) und (f) mit atomarem Nichtmetall und Wasserstoff können wiederholt werden, um die Schichtqualität zu verbessern.
  • Die Schritte (e) und (f) können zu einem Schritt kombiniert werden, wobei die Oberfläche gleichzeitig mit Wasserstoff- und Nichtmetallatomen zur Reaktion gebracht wird.
  • Der Metallvorläufer kann Molybdänhexafluorid oder Molybdänpentachlorid sein, das nichtmetallische Element kann Schwefel und die Schicht Molybdändisulfid sein.
  • Der Metallvorläufer kann Zinkdichlorid sein, das nichtmetallische Element kann Schwefel und die Schicht Zinksulfid sein.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist ein verallgemeinertes Diagramm eines Reaktors und einer damit in Verbindung stehenden Vorrichtung zum Umsetzen eines radikal-unterstützten sequentiellen CVD-Verfahrens gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 2 ist ein Schrittdiagramm, das die wesentlichen Schritte eines Verfahrens der Atomschichtabscheidung darstellt.
  • 3 ist ein Schrittdiagramm, das die Schritte in einem radikal-unterstützten CVD-Verfahren gemäß einer Ausführungsform der vorliegenden Erfindung darstellt.
  • BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • Der Erfinder hat eine verbesserte Variation der ALD entwickelt, welche die herkömmlichen Schritte der ALD zur Herstellung einer Oberfläche verändert und die Probleme der herkömmlichen ALD überwindet, wodurch ein hoher Durchsatz ohne Beeinträchtigung der Qualität erzeugt wird. Der Erfinder nennt das neue und einzigartige Verfahren radikal-unterstützte sequentielle Gasphasenabscheidung (RAS-CVD).
  • 1 ist ein verallgemeinertes Diagramm eines Systems 11 zum Umsetzen der RAS-CVD gemäß einer Ausführungsform der vorliegenden Erfindung. In diesem beispielhaften System weist eine Beschichtungskammer 13 einen beheizbaren Herd zum Stützen und Erwärmen eines zu beschichtenden Substrats 19 und eine Gasverteilungsvorrichtung wie einen Duschkopf 15 zum Liefern der gasförmigen Spezies zu der zu beschichtenden Substratoberfläche auf. Die Substrate werden durch ein Ventil 21 und eine nicht dargestellte Substrathandhabungsvorrichtung in die Kammer 13 eingeführt und daraus entfernt. Gase werden von einer Gaslieferungs- und Gaseinführvorrichtung 23 zugeführt, welche eine Mess- und Ventilvorrichtung zum sequentiellen Bereitstellen von gasförmigen Materialien bereitstellt. Eine optionale Behandlungsvorrichtung 25 wird zum Erzeugen von Gasradikalen aus Gasen bereitgestellt, die von der Vorrichtung 23 geliefert werden.
  • Der Ausdruck Radikale ist im Stand der Technik gut bekannt und verständlich, wird hier jedoch zur Vermeidung von Verwechselungen erneut beschrieben. Ein Radikal ist eine instabile Spezies. Zum Beispiel ist Sauerstoff in diatomarer Form stabil und liegt in dieser Form hauptsächlich in der Natur vor. Es kann jedoch bewirkt werden, dass diatomarer Sauerstoff in eine monoatomare Form aufgespaltet wird oder mit einem anderen Atom kombiniert wird, um Ozon, ein Molekül mit drei Atomen zu erzeugen. Sowohl monoatomarer Sauerstoff als auch Ozon sind Radikal-Formen von Sauerstoff und reaktionsfähiger als diatomarer Sauerstoff. In vielen Fällen in den Ausführungsformen der vorliegenden Erfindung sind die erzeugten und verwendeten Radikale Formen verschiedener Gase mit einem einzigen Atom wie Sauerstoff, Wasserstoff und Stickstoff, obwohl die Erfindung nicht genau auf die monoatomaren Gase beschränkt ist.
  • 2 ist ein Schrittdiagramm eines herkömmlichen Verfahrens der Atomschichtabscheidung und wird hier als Kontrast und Kontext für die vorliegende Erfindung vorgestellt. Wie in 2 dargestellt, wird bei der herkömmlichen ALD in Schritt 31 ein erster molekularer Vorläufer in eine Reaktorkammer eingeleitet und reagiert mit der Oberfläche, um (theoretisch) eine einzelne Schicht eines gewünschten Materials zu erzeugen. In diesen Verfahren ist der Vorläufer oft ein Metall enthaltendes Gas und das abgeschiedene Material ist das Metall, zum Beispiel Tantal aus TaCl5.
  • In Schritt 33 in dem herkömmlichen Verfahren wird ein Inertgas in die Reaktorkammer eingeleitet, um den überschüssigen ersten Vorläufer aus der Kammer zu spülen.
  • In Schritt 35 in dem herkömmlichen Verfahren wird ein zweiter, in der Regel nichtmetallischer Vorläufer in den Reaktor eingeführt. Der Hauptzweck dieses zweiten Vorläufers ist die Rückkonditionierung der Substratfläche zur Reaktionsfähigkeit mit dem ersten Vorläufer. In vielen Fällen stellt der zweite Vorläufer ein Material aus dem molekularen Gas bereit, das mit dem Metall an der Oberfläche kombiniert werden soll, wobei Verbindungen wie ein Oxid oder ein Nitrid mit dem frisch abgeschiedenen Metall gebildet werden.
  • Bei Schritt 37 wird die Reaktorkammer wieder ausgespült, um den Überschuss des zweiten Vorläufers zu entfernen, und danach wird Schritt 31 wiederholt. Der Zyklus wird so oft wie notwendig wiederholt, um eine gewünschte Schicht zu bilden.
  • 3 ist ein Schrittdiagramm, welches die Schritte in einem radikal-unterstützten CVD-Verfahren gemäß einer Ausführungsform der vorliegenden Erfindung darstellt. In dem einzigartigen Verfahren, das in 3 dargestellt ist, sind die ersten Schritte, Schritt 41 und 43, die gleichen wie in dem herkömmlichen Verfahren. Ein erster Vorläufer wird in Schritt 41 eingeleitet, um mit der Substratoberfläche zu reagieren, welche eine einzelne Abscheidungsschicht bildet, und die Kammer wird in Schritt 43 ausgespült. Der nächste Schritt ist einzigartig. In Schritt 45 werden eine einzige oder viele Radikalspezies über die Substratoberfläche eingeleitet, um für die Oberfläche wahlweise ein zweites Material bereitzustellen und die Oberfläche im Hinblick auf die Reaktionsfähigkeit mit dem ersten molekularen Vorläufer in einem nachfolgenden Schritt zu konditionieren. Danach wird Schritt 41 wiederholt. Eine zweite Ausspülung ist nicht notwendig und der Zyklus wird so oft wie notwendig wiederholt, um die gewünschte Schicht zu erreichen.
  • Schritt 45 kann ein einziger Schritt sein, der eine einzige Radikalspezies betrifft. Zum Beispiel kann der erste Vorläufer ein Metall wie in W aus WF6 abscheiden und die Radikalspezies in Schritt 45 kann atomarer Wasserstoff sein. Der atomare Wasserstoff neutralisiert sämtliche F-Reste sehr schnell und effektiv zu HF und schließt die Oberfläche mit atomarem Wasserstoff ab, wodurch die reaktionsfähige Oberfläche für die nächste Einleitung von WF6 bereitgestellt wird.
  • In vielen Fällen ist Schritt 45 ein verbundener Schritt, der Unterschritte umfasst, die unterschiedliche Radikalspezies betreffen. Ein gutes Beispiel ist eine Abfolge von atomarem Wasserstoff, gefolgt von atomarem Sauerstoff, wiederum gefolgt von atomarem Wasserstoff. Der erste Schritt mit Wasserstoff neutralisiert Cl oder andere restliche Liganden, der atomare Sauerstoff stellt ein Oxid des frisch abgeschiedenen Metalls bereit und der zweite atomare Wasserstoff schließt die Oberfläche mit (OH) als Vorbereitung für den nächsten Metallvorläuferschritt ab.
  • Es gibt eine große Vielfalt von Materialien und Kombinationen in Schritt 45 und viele davon werden nachstehend zusammen mit einer vollständigeren Erläuterung der Verfahrenschemie näher offenbart.
  • Bei der RAS-CVD werden nach der Metallvorläuferreaktion stark reaktionsfähige Radikalspezies eingeführt, um mit Produkten der Metallvorläuferreaktion schnell zu reagieren und die Oberfläche für die nächste Metallvorläuferreaktion vorzubereiten. Radikalspezies sind, wie oben einleitend erwähnt, reaktionsfähige Atome oder molekulare Fragmente, die chemisch instabil und folglich äußerst reaktionsfähig sind. Außerdem chemisorbieren Radikale auf solchen Oberflächen mit einer praktisch 100%igen Effizienz. Radikale können auf zahlreiche Arten und Weisen erzeugt werden und die Plasmaerzeugung hat sich als ein effizientes und kompatibles Herstellungsmittel erwiesen.
  • RAS-CVD-Verfahren benutzen nur einen einzigen molekularen Vorläufer, in vielen Fällen einen Metallvorläufer. Die Oberflächenvorbereitung sowie die Abscheidung von nichtmetallischen Elementen werden durch Atom-Oberflächen-Reaktionen erreicht. Nach der Metallvorläuferreaktion wird die -ML-abgeschlossene Oberfläche mit Wasserstoffatomen zur Reaktion gebracht, um die Oberfläche in -MH umzuwandeln und das HL-Nebenprodukt zu eliminieren. Im Gegensatz zu Molekül-Oberflächen-Reaktionen hängen Atom-Oberflächen-Reaktionen nicht von der Zahlendichte der reaktionsfähigen Orte ab. Die meisten Atome (außer bei Edelgasen) haften in einem nicht umkehrbaren Verfahren sehr effizient an Oberflächen, da die atomare Desorption gewöhnlich nachteilig ist. Die Atome sind sehr beweglich an nicht reaktionsfähigen Orten und sehr reaktionsfähig an reaktionsfähigen Orten. Folglich weisen Atom-Oberflächen-Reaktionen eine lineare Aussetzungsabhängigkeit sowie hohe Geschwindigkeiten auf.
  • Die -MH-Oberfläche kann mit A-Atomen zur Reaktion gebracht werden, um eine -M-A-Oberfläche zu erhalten. In diesem Fall können einige der H-Liganden als AHy eliminiert werden. Zum Beispiel kann die -MH-Oberfläche mit Sauerstoffatomen zur Reaktion gebracht werden, um eine Oxidverbindung abzuscheiden. Als Alternative kann die -MH-Oberfläche zur gesteuerten Atomschichtabscheidung von M-Metallschichten wieder mit MLx zur Reaktion gebracht werden. Für die Abscheidung von Nitridverbundschichten ist A atomarer Stickstoff. Die Oberfläche nach der atomaren A-Reaktion wird mit A- und AH abgeschlossen. An diesem Punkt wandelt eine zusätzliche atomare Reaktion mit Wasserstoff die Oberfläche in die gewünschten AH-Liganden um, die im Hinblick auf den Metallvorläufer reaktionsfähig sind. Als Alternative kann die MH-Oberfläche mit einer Mischung von A- und H-Atomen zur Reaktion gebracht werden, um die Oberfläche in eine -AH-abgeschlossene Oberfläche mit einem Schritt weniger umzuwandeln. Alle oben beschriebenen Reaktionen sind Radikal-Oberflächen-Reaktionen, die schnell und effizient sind und linear von der Aussetzung abhängen. Außerdem führt die Wasserstoffendreaktion zu einer vollständigen Wiederherstellung zu der Ausgangsoberfläche ohne jegliche Aufnahme von Verunreinigungen.
  • Ein weiterer Nutzen im Hinblick auf den Durchsatz der RAS-CVD besteht darin, dass nach dem Metallvorläuferschritt ein einziger Ausspülschritt benötigt wird und nicht die zwei Ausspülschritte in dem herkömmlichen Verfahren. Die meisten Forscher nehmen an, dass der Ausspülschritt der bedeutendste Schritt im Hinblick auf die Beeinträchtigung des Durchsatzes in den ALD-Verfahren ist. Ein weiterer Vorteil besteht darin, dass die RAS-CVD eine längere Systembetriebszeit und eine reduzierte Wartung verspricht. Der Grund hierfür liegt darin, dass atomare Spezies an Aluminiumwänden des Abscheidungsmoduls effizient abgeschreckt werden können. Die stromabwärts verlaufende Abscheidung auf der Kammer und Pumpenleitungen werden daher praktisch beseitigt. Die RAS-CVD beseitigt die Verwendung von H2O und NH3, die gewöhnlich für die Abscheidung (jeweils) von Oxiden und Nitriden im Stand der Technik angewendet werden. Es ist allgemein bekannt, dass diese Vorläufer die Wartung und Ausfallzeit von Vakuumsystemen erhöhen.
  • Gemäß dem oben Erwähnten umfasst ein typischer RAS-CVD-Zyklus für eine Metalloxidschicht die folgenden Schritte:
    • 1. Metallvorläuferreaktion mit -OH- (Hydroxyl-) abgeschlossener Oberfläche zum Binden von -O-MLy und Beseitigen von Wasserstoff durch HL-Desorption. Die Oberfläche wird mit L-Liganden bedeckt, das heißt, bei TaCl5 wird die Oberfläche mit Cl-Atomen bedeckt.
    • 2. Ausspülen mit Inertgas, um überschüssigen Metallvorläufer zu entfernen.
    • 3. Schritt mit atomarem Wasserstoff – beseitigt die Liganden L durch HL-Desorption und schließt die Oberfläche mit Wasserstoff ab.
    • 4. Schritt mit atomarem Sauerstoff- reagiert mit einer einzelnen Metallschicht, um Oxid zu bilden. Wieder atomarer Wasserstoff, um eine hydroxyl-gesättigte Oberfläche für den nächsten Metallvorläuferschritt zurückzulassen.
  • An diesem Punkt kann die Qualität der Oxidschichten (das heißt, Isoliereigenschaften, dielektrische Stärke, Ladungseintrag) durch vielmaliges Ausführen der Schritte 4 und 5 verbessert werden. Zum Beispiel kann eine Al2O3-RAS-CVD aus Trimethylaluminium- Al(CH3)3, Wasserstoff- und Sauerstoffaussetzungen durchgeführt werden. Al(CH3)3, das mit der -OH-abgeschlossenen Oberfläche reagiert, scheidet -OAl(CH3)x in Übereinstimmung mit der Desorption von Methan (CH4) ab. Die -OAl(CH3)x (x = 1,2)-Oberfläche wird mit H-Atomen behandelt, um eine Zahl x von Methanmolekülen zu beseitigen und die Oberfläche mit -OAlH abzuschließen. Diese Oberfläche wird nach der aufeinander folgenden (oder gleichlaufenden) Reaktion mit O-Atomen und H-Atomen -OAl-OH-abgeschlossen, was dem Wiederherstellungszustand entspricht. An diesem Punkt kann das RAS-CVD-Verfahren durch Anwenden einer anderen Al(CH3)3-Reaktion fortfahren. Als Alternative kann die -OAl-OH-Oberfläche anderen Zyklen von O- und H-Atomen ausgesetzt werden. Bei einer Temperatur über 100 °C tauscht das Verfahren OH-Gruppen und Al-O-Al-Brückenorte aus und die resultierende -OAl-OH-Oberfläche wird thermodynamisch vorteilhafter als die Ausgangsoberfläche, da das Verfahren die angespannteren (Al-O-)n- Ringstrukturen beseitigt sowie Defekte und zerbrochene Bindungen wegtitriert. Da die atomaren Reaktionen recht schnell sind, wird nicht erwartet, dass diese Qualitätsverbesserungen ein größeres Durchsatzproblem darstellen. In der Tat kann eine außerordentliche Qualität durch mehrmaliges Anwenden der O-, H-Zyklen erreicht werden. Nach einer gegebenen Anzahl von atomaren O-, H-Reaktionen fährt die Sequenz mit der nächsten Al(CH3)3-Reaktion fort.
    • 6. Wiederholen der Schritt ab 1.
  • Bei Metallnitriden wird atomarer Stickstoff mit Sauerstoff substituiert. Für reine Metallabscheidungen kann der Schritt mit Sauerstoff/Stickstoff durch einen einzigen Schritt mit atomarem Wasserstoff ersetzt werden, wie für Wolframschichten. Die wasserstoff-gesättigte Oberfläche nach dem ersten Schritt mit atomarem Wasserstoff ist mit WF6 reaktionsfähig, um das reine Metall herzustellen.
  • Die generische Wesensart der RAS-CVD ist für vielschichtige Kombinationsschichten unterschiedlicher Oxide, unterschiedlicher Nitride, Oxide mit Nitriden, unterschiedlicher Metalle und Metalle mit Verbundschichten vorteilhaft.
  • In einem anderen einzigartigen Verfahren, das für Sperrschichten nützlich ist, kann das WN-Verfahren mit dem reinen W-Verfahren kombiniert werden, um abwechselnde W- und WN-Schichten in einer Vielfalt von Schemata zu erzeugen, um die Polykristallisation zu unterdrücken und die Widerstandsfähigkeit der Sperrschicht zu reduzieren. Andere Eigenschaften wie die Elektromigration können durch eine Fähigkeit zur Bereitstellung einer abgestuften WN-Schicht mit reduziertem Stickstoffgehalt an der Kupfergrenzfläche für solche Anwendungen gesteuert werden.
  • In Ausführungsformen der Erfindung kann eine große Vielfalt von Verfahrenschemien umgesetzt werden, die ein breites Spektrum von Endschichten bereitstellen. Im Bereich der reinen Metalle wird zum Beispiel die folgende Teilliste, jedoch nicht einschränkende Liste bereitgestellt:
    • 1. Wolfram aus Wolframhexafluorid.
    • 2. Tantal aus Tantalpentachlorid.
    • 3. Aluminium aus Aluminiumtrichlorid oder Trimethylaluminium.
    • 4. Titan aus Titantetrachlorid oder Titantetraiodid.
    • 5. Molybdän aus Molybdänhexafluorid.
    • 6. Zink aus Zinkdichlorid.
    • 7. Hafnium aus Hafniumtetrachlorid.
    • 8. Niobium aus Niobiumpentachlorid.
    • 9. Kupfer aus Cu3Cl3.
  • Im Bereich der Oxide wird die folgende Teilliste, jedoch nicht einschränkende List bereitgestellt:
    • 1. Tantalpentoxid aus Tantalpentachlorid.
    • 2. Aluminiumoxid aus Trimethylaluminium oder Aluminiumtrichlorid.
    • 3. Titanoxid aus Titantetrachlorid oder Titantetraiodid.
    • 4. Niobiumpentoxid aus Niobiumpentachlorid.
    • 5. Zirconiumoxid aus Zirconiumtetrachlorid.
    • 6. Hafniumoxid aus Hafniumtetrachlorid.
    • 7. Zinkoxid aus Zinkdichlorid.
    • 8. Molybdänoxid aus Molybdänhexafluorid oder Molybdänpentachlorid.
    • 9. Manganoxid aus Mangandichlorid.
    • 10. Zinnoxid aus Zinntetrachlorid.
    • 11. Indiumoxid aus Indiumtrichlorid oder Trimethylindium.
    • 12. Wolframoxid aus wolframhexafluorid.
    • 13. Siliciumdioxid aus Siliciumtetrachlorid.
  • Im Bereich der Nitride wird die folgende Teilliste, jedoch nicht einschränkende Liste bereitgestellt:
    • 1. Wolframnitrid aus Wolframhexafluorid.
    • 2. Tantalnitrid aus Tantalpentachlorid.
    • 3. Aluminiumnitrid aus Aluminiumtrichlorid oder Trimethylaluminium.
    • 4. Titannitrid aus Titantetrachlorid.
    • 5. Siliciumnitrid aus Siliciumtetrachlorid oder Dichlorsilan.
    • 6. Galliumnitrid aus Trimethylgallium.
  • Geräteanforderungen
  • Ein weiterer Vorteil der RAS-CVD ist, dass sie in den meisten Fällen mit den ALD-Verfahrensgeräten kompatibel ist. Der bedeutende Unterschied liegt in der Herstellung atomarer Spezies und/oder anderer Radikale und in der Zeiteinstellung und Abfolge der Gase im Hinblick auf die Verfahrenskammer. Die atomaren Spezies können auf mehrere Arten und Weisen hergestellt werden, wie durch (1) In-situ-Plasmaerzeugung, (2) Intra-Duschkopf-Plasmaerzeugung und (3) externe Erzeugung durch eine entfernte hochdichte Plasmaquelle oder durch andere Mittel wie der UV-Dissoziation oder Dissoziation metastabiler Moleküle. Mit erneutem Bezug auf 1 sind diese Verfahren und Vorrichtungen kollektiv durch Vorrichtung 25 dargestellt.
  • Von den Optionen ist die In-situ-Erzeugung die einfachste Gestaltung, stellt jedoch mehrere Probleme wie die Einschalt- und Ausschaltzeiten dar, die eine Durchsatzeinschränkung sein können. Die Intra-Duschkopf-Erzeugung hat sich beim Trennen der atomaren Spezieserzeugung von dem ALD-Raum als vorteilhaft erwiesen. Das zum Zeitpunkt dieser Spezifikation bevorzugte Verfahren ist die entfernte Erzeugung durch eine hochdichte Quelle, da dies das vielseitigste Verfahren ist. Die Radikale werden in einer entfernten Quelle erzeugt, zu dem ALD-Volumen geliefert und durch einen Duschkopf über die Halbleiterplatte in dem Prozess verteilt.
  • Für den Fachmann ist es offensichtlich, dass es verschiedene Optionen gibt, die innerhalb des Schutzbereichs dieser Erfindung als Variationen der oben beschriebenen Ausführungsformen umgesetzt werden können. Einige davon sind bereits beschrieben worden. Zum Beispiel können Radikale der benötigten Spezies wie Wasserstoff, Sauerstoff, Stickstoff auf mehrere Arten und Weisen erzeugt und in den Verfahrensschritten geliefert werden. Ferner können die ALD-Kammern, Gasverteilung, Ventile, Zeiteinstellung und dergleichen in vielen Einzelheiten variieren. Darüber hinaus können viele Metalle, Oxide, Nitride und dergleichen erzeugt werden und die Verfahrensschritte können verändert und verschachtelt werden, um abgestufte und abwechselnde Schichten zu erzeugen.
  • Neben diesen Variationen wird der Fachmann erkennen, dass die Verfahrensschritte durch Aufnahme der hierin beschriebenen und aufgenommenen Verfahren derart verändert werden können, dass Legierungen von zwei, drei oder mehreren Metallen abgeschieden werden können, Verbindungen mit zwei, drei oder mehreren Bestandteilen abgeschieden werden können sowie abgestufte Schichten und Nano-Laminate hergestellt werden können. Diese Variationen sind einfache Varianten, welche die einzelnen Ausführungsformen der Erfindung in abwechselnden Zyklen, in der Regel in-situ benutzen. Es gibt viele andere Variationen innerhalb der Wesensart und des Schutzbereichs der Erfindung, so dass die Erfindung nur durch die folgenden Ansprüche eingeschränkt ist.

Claims (18)

  1. Verfahren zur Abscheidung 3, eines Metalloxids auf eine Substratoberfläche in einer Beschichtungskammer, mit den folgenden Schritten: (a) Abscheiden einer einzelnen Metallschicht auf der Substratoberfläche, indem man ein das Metall enthaltendes molekulares Metallvorläufergas oder einen molekularen Metallvorläuferdampf über eine Oberfläche des Substrats fließen läßt, wobei die Oberfläche mit einer ersten reaktiven Spezies gesättigt ist, mit welcher der Vorläufer unter Abscheidung des Metalls und Bildung eines Reaktionsprodukts reagiert und eine Metalloberfläche zurückläßt, die mit Liganden von dem Metallvorläufer bedeckt und daher nicht mehr mit dem Vorläufer reaktionsfähig ist; (b) Beenden der Vorläufergas- oder -dampfströmung; (c) Ausspülen des Vorläufers mit Inertgas; (d) Einleiten einer ersten Radikalspezies in die Kammer und über die Oberfläche, wobei die Radikalspezies äußerst reaktionsfähig mit dem Reaktionsprodukt ist und sich mit dem Reaktionsprodukt verbindet, um eine flüchtige Spezies zu erzeugen und die Oberfläche mit der ersten Radikalspezies zu sättigen; (e) Einleiten von Sauerstoffradikalen in die Kammer zur Bindung mit der im Schritt (a) abgeschiedenen monomolekularen Metallschicht und zur Bildung eines Metalloxids; (f) Einleiten einer dritten Radikalspezies in die Kammer, welche die Oberfläche in Vorbereitung für einen nächsten Metallabscheidungsschritt mit der ersten reaktiven Spezies abschließt; und (g) Wiederholen der Schritte der Reihe nach, bis eine Verbundschicht der gewünschten Dicke entsteht.
  2. Verfahren nach Anspruch 1, wobei die erste und die dritte Radikalspezies beide atomarer Wasserstoff sind und die Metalloberfläche im Schritt (f) mit einer Hydroxylspezies abgeschlossen wird, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  3. Verfahren nach Anspruch 2, wobei die atomaren Schritte (e) und (f) mit atomarem Sauerstoff und Wasserstoff wiederholt werden, um die Schichtqualität zu verbessern.
  4. Verfahren nach Anspruch 1, wobei die Schritte (e) und (f) zu einem Schritt kombiniert werden, in dem die Oberfläche gleichzeitig mit Wasserstoff- und Sauerstoffatomen zur Reaktion gebracht wird.
  5. Verfahren nach Anspruch 1, wobei entweder der Metallvorläufer Tantalpentachlorid und die Schicht Tantalpentoxid ist, oder der Metallvorläufer Trimethylaluminium oder Aluminiumtrichlorid und die Schicht Aluminiumoxid ist, oder der Metallvorläufer Titantetrachlorid oder Titantetraiodid und die Schicht Titanoxid ist, oder der Metallvorläufer Niobiumpentachlorid und die Schicht Niobiumpentoxid ist, oder der Metallvorläufer Zirconiumtetrachlorid und die Schicht Zirconiumoxid ist, oder der Metallvorläufer Hafniumtetrachlorid und die Schicht Hafniumoxid ist, oder der Metallvorläufer Zirkdichlorid und die Schicht Zinkoxid ist, oder der Metallvorläufer Molybdänhexafluorid oder Molybdänpentachlorid und die Schicht Molybdänoxid ist, oder der Metallvorläufer Mangandichlorid und die Schicht Manganoxid ist, oder der Metallvorläufer Zinntetrachlorid und die Schicht Zinnoxid ist, oder der Metallvorläufer Indiumtrichlorid oder Trimethylindium und die Schicht Indiumoxid ist, oder der Metallvorläufer Wolframhexafluorid und die Schicht Wolframoxid ist, oder der Metallvorläufer Siliciumtetrachlorid und die Schicht Siliciumdioxid ist.
  6. Verfahren nach Anspruch 1, wobei die erste Radikalspezies atomarer Wasserstoff ist und die Schritte (e) und (f) zu einem Schritt mit Verwendung von OH-Radikalen vereinigt werden und die Metalloberfläche im Schritt (f) mit einer Hydroxylspezies abgeschlossen wird, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  7. Verfahren zum Abscheiden eines Metallnitrids auf einer Substratoberfläche in einer Beschichtungskammer, mit den folgenden Schritten: (a) Abscheiden einer einzelnen Metallschicht auf der Substratoberfläche, indem man ein das Metall enthaltendes Metallvorläufergas oder einen Metallvorläuferdampf über eine Oberfläche des Substrats fließen läßt, wobei die Oberfläche mit einer ersten reaktiven Spezies gesättigt ist, mit welcher der Vorläufer unter Abscheidung des Metalls und Bildung eines Reaktionsprodukts reagiert und eine Metalloberfläche zurückläßt, die mit Liganden von dem Metallvorläufer bedeckt und daher nicht mehr mit dem Vorläufer reaktionsfähig ist; (b) Beenden der Vorläufergas- oder -dampfströmung; (c) Ausspülen des Vorläufers mit Inertgas; (d) Einleiten einer ersten Radikalspezies in die Kammer und über die Oberfläche, wobei die Radikalspezies äußerst reaktionsfähig mit den Oberflächenliganden der Metallvorläuferschicht ist und die Liganden als Reaktionsprodukt beseitigt und außerdem die Oberfläche sättigt; (e) Einleiten von Stickstoffradikalen in die Kammer zur Bindung mit der im Schritt (a) abgeschiedenen einzelnen Metallschicht und zur Bildung eines Metallnitrids; (f) Einleiten einer dritten Radikalspezies in die Kammer, welche die Oberfläche in Vorbereitung für einen nächsten Metallabscheidungsschritt mit der ersten reaktiven Spezies abschließt; und (g) Wiederholen der Schritte der Reihe nach, bis eine Verbundschicht der gewünschten Dicke entsteht.
  8. Verfahren nach Anspruch 7, wobei die erste und die dritte atomare Radikalspezies beide atomarer Wasserstoff sind und die Metalloberfläche im Schritt (f) mit einer Aminspezies abgeschlossen wird, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  9. Verfahren nach Anspruch 8, wobei die Schritte (e) und (f) zu einem Schritt kombiniert werden, in dem die Oberfläche gleichzeitig mit Wasserstoff- und Stickstoffatomen zur Reaktion gebracht wird.
  10. Verfahren nach Anspruch 7, wobei der Metallvorläufer Wolframhexafluorid und die Schicht Wolframnitrid ist.
  11. Verfahren nach Anspruch 7, wobei entweder der Metallvorläufer Tantalpentachlorid und die Schicht Tantalnitrid ist, oder der Metallvorläufer Aluminiumtrichlorid oder Trimethylaluminium und die Schicht Aluminiumnitrid ist, oder der Metallvorläufer Titantetrachlorid und die Schicht Titannitrid ist, oder der Metallvorläufer Siliciumtetrachlorid oder Dichlorsilan und die Schicht Siliciumnitrid ist, oder der Metallvorläufer Trimethylgallium und die Schicht Galliumnitrid ist.
  12. Verfahren nach Anspruch 7, wobei die erste Radikalspezies atomarer Wasserstoff ist und die Schritte (e) und (f) zu einem Schritt mit Verwendung von NH- und NH2-Radikalen vereinigt werden und die Metalloberfläche im Schritt (f) mit einer Aminspezies abgeschlossen wird, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  13. Verfahren zum Abscheiden einer Verbundschicht auf einer Substratoberfläche in einer Beschichtungskammer, mit den folgenden Schritten: (a) Abscheiden einer einzelnen Metallschicht auf der Substratoberfläche, indem man ein das Metall enthaltendes molekulares Metallvorläufergas oder einen molekularen Metallvorläuferdampf über eine Oberfläche des Substrats fließen läßt, wobei die Oberfläche mit einer ersten reaktiven Spezies gesättigt ist, mit welcher der Vorläufer unter Abscheidung des Metalls und Bildung eines Reaktionsprodukts reagiert und eine Metalloberfläche zurückläßt, die mit Liganden von dem Metallvorläufer bedeckt und daher nicht mehr mit dem Vorläufer reaktionsfähig ist; (b) Beenden der Vorläufergas- oder -dampfströmung; (c) Ausspülen des Vorläufers mit Inertgas; (d) Einleiten einer ersten Radikalspezies in die Kammer und über die Oberfläche, wobei die Radikalspezies äußerst reaktionsfähig mit dem Reaktionsprodukt ist und sich mit dem Reaktionsprodukt verbindet, um eine flüchtige Spezies zu erzeugen und die Oberfläche mit der ersten Radikalspezies zu sättigen; (e) Einleiten einer nichtmetallischen Radikalspezies in die Kammer zur Bindung mit der im Schritt (a) abgeschiedenen einzelnen Metallschicht und zur Bildung einer Metallverbundschicht; (f) Einleiten einer dritten Radikalspezies in die Kammer, welche die Oberfläche in Vorbereitung für einen nächsten Metallabscheidungsschritt mit der ersten reaktiven Spezies abschließt; und (g) Wiederholen der Schritte der Reihe nach, bis eine Verbundschicht der gewünschten Dicke entsteht.
  14. Verfahren nach Anspruch 13, wobei die erste und die dritte Radikalspezies beide atomarer Wasserstoff sind und die Metalloberfläche im Schritt (f) mit einer Hydridspezies des nichmetallischen Elements abgeschlossen wird, die mit dem Metallvorläufer reaktionsfähig ist, um das Metall abzuscheiden.
  15. Verfahren nach Anspruch 13, wobei die Schritte (e) und (f) mit atomarem Nichtmetall und Wasserstoff wiederholt werden, um die Schichtqualität zu verbessern.
  16. Verfahren nach Anspruch 13, wobei die Schritte (e) und (f) zu einem Schritt kombiniert werden, in dem die Oberfläche gleichzeitig mit Wasserstoff- und Nichtmetallatomen zur Reaktion gebracht wird.
  17. Verfahren nach Anspruch 13, wobei der Metallvorläufer Molybdänhexafluorid oder Molybdänpentachlorid, das nichtmetallische Element Schwefel und die Schicht Molybdändisulfid ist.
  18. Verfahren nach Anspruch 13, wobei der Metallvorläufer Zinkdichlorid, das nichtmetallische Element Schwefel und die Schicht Zinksulfid ist.
DE60027401T 1999-03-11 2000-02-01 Radikal-unterstützte sequentielle gasphasenabscheidung Expired - Lifetime DE60027401T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/267,953 US6200893B1 (en) 1999-03-11 1999-03-11 Radical-assisted sequential CVD
US267953 1999-03-11
PCT/US2000/002577 WO2000054320A1 (en) 1999-03-11 2000-02-01 Radical-assisted sequential cvd

Publications (2)

Publication Number Publication Date
DE60027401D1 DE60027401D1 (de) 2006-05-24
DE60027401T2 true DE60027401T2 (de) 2006-12-07

Family

ID=23020817

Family Applications (2)

Application Number Title Priority Date Filing Date
DE1125324T Pending DE1125324T1 (de) 1999-03-11 2000-02-01 Radikal-unterstützte sequentielle gasphasenabcheidung
DE60027401T Expired - Lifetime DE60027401T2 (de) 1999-03-11 2000-02-01 Radikal-unterstützte sequentielle gasphasenabscheidung

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE1125324T Pending DE1125324T1 (de) 1999-03-11 2000-02-01 Radikal-unterstützte sequentielle gasphasenabcheidung

Country Status (8)

Country Link
US (6) US6200893B1 (de)
EP (1) EP1125324B1 (de)
JP (1) JP3798248B2 (de)
KR (1) KR100489140B1 (de)
AT (1) ATE323948T1 (de)
AU (1) AU3479100A (de)
DE (2) DE1125324T1 (de)
WO (1) WO2000054320A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014205536A1 (de) 2014-03-25 2015-10-01 Robert Bosch Gmbh Mikromechanisches Bauelement mit Antihaftschicht und entsprechendes Herstellungsverfahren

Families Citing this family (558)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JPH11195621A (ja) * 1997-11-05 1999-07-21 Tokyo Electron Ltd バリアメタル、その形成方法、ゲート電極及びその形成方法
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
US8206568B2 (en) * 1999-06-22 2012-06-26 President And Fellows Of Harvard College Material deposition techniques for control of solid state aperture surface properties
FI110311B (fi) 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6524317B1 (en) * 1999-12-30 2003-02-25 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
EP2293322A1 (de) * 2000-06-08 2011-03-09 Genitech, Inc. Verfahren zur Abscheidung einer Metallnitridschicht
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100396694B1 (ko) * 2000-07-27 2003-09-02 주식회사 하이닉스반도체 원자층 증착법을 이용한 박막 제조 방법
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US6585730B1 (en) * 2000-08-30 2003-07-01 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
JP2002105641A (ja) * 2000-10-03 2002-04-10 Murakami Corp 複合材およびその製造方法
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US6652561B1 (en) * 2000-10-13 2003-11-25 Opus Medical, Inc Method and apparatus for attaching connective tissues to bone using a perforated suture anchoring device
FI118014B (fi) * 2000-10-23 2007-05-31 Asm Int Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
CN1295756C (zh) * 2000-11-17 2007-01-17 东京毅力科创株式会社 在阻挡膜上形成钨膜的方法
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002070142A1 (en) * 2000-12-06 2002-09-12 Angstron Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7083638B2 (en) * 2001-02-12 2006-08-01 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6770076B2 (en) 2001-02-12 2004-08-03 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
DE10111938A1 (de) * 2001-03-13 2002-09-26 Merck Patent Gmbh Herstellung von Hochtemperatur-Supraleiter-Pulvern in einem Pulsationsreaktor
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6511896B2 (en) * 2001-04-06 2003-01-28 Micron Technology, Inc. Method of etching a substantially amorphous TA2O5 comprising layer
US6780766B2 (en) * 2001-04-06 2004-08-24 Micron Technology, Inc. Methods of forming regions of differing composition over a substrate
US7867905B2 (en) * 2001-04-21 2011-01-11 Tegal Corporation System and method for semiconductor processing
US7442615B2 (en) * 2001-04-21 2008-10-28 Tegal Corporation Semiconductor processing system and method
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6627268B1 (en) * 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6547800B2 (en) * 2001-06-06 2003-04-15 Opus Medical, Inc. Method and apparatus for attaching connective tissues to bone using a cortical bone anchoring device
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) * 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20040194691A1 (en) * 2001-07-18 2004-10-07 George Steven M Method of depositing an inorganic film on an organic polymer
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
TW557514B (en) * 2001-08-02 2003-10-11 Tokyo Electron Ltd Method for processing a substrate and material for electronic devices
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP3941099B2 (ja) * 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
FR2834242B1 (fr) * 2001-12-31 2004-07-02 Memscap Structure multicouche, utilisee notamment en tant que materiau de forte permittivite relative
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6855157B2 (en) * 2002-02-04 2005-02-15 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
WO2003076678A2 (en) * 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
PT1347077E (pt) * 2002-03-15 2006-09-29 Vhf Technologies Sa Aparelho e metodo para a producao de dispositivos semicondutores flexiveis
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
WO2003100828A2 (en) * 2002-05-21 2003-12-04 Aviza Technology, Inc Method of depositing an oxide film by chemical vapor deposition
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
EP1543177A1 (de) * 2002-07-12 2005-06-22 President And Fellows Of Harvard College Dampfabscheidung von wolframnitrid
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
WO2004009861A2 (en) * 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US6858085B1 (en) 2002-08-06 2005-02-22 Tegal Corporation Two-compartment chamber for sequential processing
US6921555B2 (en) * 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6835671B2 (en) * 2002-08-16 2004-12-28 Freescale Semiconductor, Inc. Method of making an integrated circuit using an EUV mask formed by atomic layer deposition
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6808983B2 (en) * 2002-08-27 2004-10-26 Micron Technology, Inc. Silicon nanocrystal capacitor and process for forming same
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7144806B1 (en) * 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7022605B2 (en) 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7090690B2 (en) * 2002-11-19 2006-08-15 Arthrocare Corporation Devices and methods for repairing soft tissue
US20040099889A1 (en) * 2002-11-27 2004-05-27 Agere Systems, Inc. Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
US6893978B1 (en) * 2002-12-03 2005-05-17 Silicon Magnetic Systems Method for oxidizing a metal layer
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
WO2004061154A1 (ja) * 2002-12-27 2004-07-22 Ulvac Inc. 窒化タングステン膜の成膜方法
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US6955986B2 (en) * 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) * 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US6703296B1 (en) * 2003-04-17 2004-03-09 Macronix International Co. Ltd. Method for forming metal salicide
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7399357B2 (en) * 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7740917B2 (en) * 2003-07-16 2010-06-22 Konica Minolta Holdings, Inc. Method for forming thin film and base and having thin film formed by such method
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
KR20060054387A (ko) 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
JP4887604B2 (ja) * 2003-08-29 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2005089823A (ja) * 2003-09-17 2005-04-07 Seiji Sagawa 成膜装置および成膜方法
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7306956B2 (en) * 2003-09-30 2007-12-11 Intel Corporation Variable temperature and dose atomic layer deposition
US7699997B2 (en) * 2003-10-03 2010-04-20 Kobe Steel, Ltd. Method of reclaiming silicon wafers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7682374B2 (en) * 2003-10-21 2010-03-23 Arthrocare Corporation Knotless suture lock and bone anchor implant method
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
DE10357756B4 (de) * 2003-12-10 2006-03-09 Infineon Technologies Ag Verfahren zur Herstellung von Metall-Oxynitriden durch ALD-Prozesse unter Verwendung von NO und/oder N2O
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4601975B2 (ja) * 2004-03-01 2010-12-22 東京エレクトロン株式会社 成膜方法
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050227003A1 (en) * 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US6987063B2 (en) * 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
DE102004040797A1 (de) * 2004-08-23 2005-10-20 Infineon Technologies Ag Verfahren zum Abscheiden von Schichten auf einem zu beschichtenden Substrat und Schichtsystem
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) * 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
DE102004061094A1 (de) * 2004-12-18 2006-06-22 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen sowie dazu geeignete Ausgangsstoffe
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
JP3984639B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路
ATE529734T1 (de) * 2005-04-06 2011-11-15 Harvard College Molekulare charakterisierung mit kohlenstoff- nanoröhrchen-steuerung
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
DE202006020328U1 (de) * 2005-06-01 2008-05-21 Arthrocare Corp., Sunnyvale Knotenfreie Fadenverankerungsvorrichtung mit Verformungsabschnitt, um Fäden unterschiedlichen Durchmessers aufzunehmen
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
EP1899497A1 (de) * 2005-06-29 2008-03-19 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Verfahren zur abscheidung von ternären filmen
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
DE102006038885B4 (de) * 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) * 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
JP4845455B2 (ja) * 2005-09-01 2011-12-28 キヤノンアネルバ株式会社 薄膜作製装置及び薄膜作製方法
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
KR20080074195A (ko) * 2005-11-28 2008-08-12 매티슨 트라이-개스, 인크. 화학증착에 의해서 형성된 기체 저장 컨테이너 라이닝
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
KR100668745B1 (ko) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7615061B2 (en) * 2006-02-28 2009-11-10 Arthrocare Corporation Bone anchor suture-loading system, method and apparatus
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7537804B2 (en) * 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
DE102006027932A1 (de) * 2006-06-14 2007-12-20 Aixtron Ag Verfahren zum selbstlimitierenden Abscheiden ein oder mehrerer Monolagen
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
KR101019875B1 (ko) * 2006-06-30 2011-03-04 어플라이드 머티어리얼스, 인코포레이티드 나노결정 형성
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
DE112007001814T5 (de) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US8133258B2 (en) 2006-08-03 2012-03-13 Arthrocare Corporation Method and apparatus for attaching connective tissues to bone using a knotless suture anchoring device
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
JP5590886B2 (ja) * 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
KR101427142B1 (ko) 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080182021A1 (en) * 2007-01-31 2008-07-31 Simka Harsono S Continuous ultra-thin copper film formed using a low thermal budget
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
CN101680087A (zh) * 2007-03-06 2010-03-24 瓦里安半导体设备公司 原子层沉积技术
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
US20080268642A1 (en) * 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
US8137381B2 (en) 2007-04-25 2012-03-20 Arthrocare Corporation Knotless suture anchor having discrete polymer components and related methods
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7963972B2 (en) * 2007-09-12 2011-06-21 Arthrocare Corporation Implant and delivery system for soft tissue repair
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US8383439B2 (en) * 2007-10-25 2013-02-26 Showa Denko K.K. Apparatus for manufacturing group-III nitride semiconductor layer, method of manufacturing group-III nitride semiconductor layer, group-III nitride semiconductor light-emitting device, method of manufacturing group-III nitride semiconductor light-emitting device, and lamp
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR101410930B1 (ko) * 2008-01-17 2014-06-23 삼성전자주식회사 탄소나노튜브 상의 금속 산화막 형성방법 및 이를 이용한탄소나노튜브 트랜지스터 제조방법
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
EP2159304A1 (de) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Vorrichtung und Verfahren zur Atomlagenabscheidung
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
KR20110100618A (ko) * 2008-12-05 2011-09-14 로터스 어플라이드 테크놀로지, 엘엘씨 향상된 장벽 층 특성을 갖는 얇은 막의 고속 증착
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8257799B2 (en) * 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8568529B2 (en) * 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
JP2012525718A (ja) * 2009-04-29 2012-10-22 アプライド マテリアルズ インコーポレイテッド HVPEにおいてその場プレ−GaN堆積層を形成する方法
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5307072B2 (ja) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (de) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Verfahren und Vorrichtung zur Ablagerung atomarer Schichten auf einem Substrat
EP2362411A1 (de) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Vorrichtung und Verfahren zum reaktiven Ionenätzen
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
WO2012060940A1 (en) 2010-11-04 2012-05-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US8969823B2 (en) * 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US9105379B2 (en) 2011-01-21 2015-08-11 Uchicago Argonne, Llc Tunable resistance coatings
US8921799B2 (en) 2011-01-21 2014-12-30 Uchicago Argonne, Llc Tunable resistance coatings
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
CN103443906B (zh) * 2011-03-04 2016-03-30 应用材料公司 触点清洁的方法
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9636101B2 (en) 2011-09-01 2017-05-02 Arthrocare Corporation Bone anchor having an integrated stress isolator
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9034014B2 (en) 2012-01-27 2015-05-19 Arthrocare Corporation Free floating wedge suture anchor for soft tissue repair
US9226742B2 (en) 2012-01-27 2016-01-05 Arthrocare Corporation Restricted wedge suture anchor and method for soft tissue repair
US9364210B2 (en) 2012-01-27 2016-06-14 Arthrocare Corporation Biased wedge suture anchor and method for soft tissue repair
US9198649B2 (en) 2012-01-27 2015-12-01 Arthrocare Corporation Rotating locking member suture anchor and method for soft tissue repair
US9023083B2 (en) 2012-01-27 2015-05-05 Arthrocare Corporation Method for soft tissue repair with free floating suture locking member
US9029264B2 (en) * 2012-03-14 2015-05-12 Applied Materials, Inc. Methods for depositing a tin-containing layer on a substrate
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2013147856A1 (en) * 2012-03-30 2013-10-03 Intel Corporation Process and material for preventing deleterious expansion of high aspect ratio copper filled through silicon vias (tsvs)
US9855028B2 (en) 2012-04-06 2018-01-02 Arthrocare Corporation Multi-suture knotless anchor for attaching tissue to bone and related method
US9430102B2 (en) 2012-07-05 2016-08-30 Apple Touch interface using patterned bulk amorphous alloy
DE102012017894A1 (de) * 2012-09-11 2014-03-13 Hochschule Für Angewandte Wissenschaft Und Kunst Hildesheim/Holzminden/Göttingen Verfahren zum Aufbringen einer Oxidschicht auf eine Oberfläche
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11326255B2 (en) 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9552979B2 (en) * 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP6488284B2 (ja) 2013-09-27 2019-03-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
US11286557B2 (en) 2014-01-24 2022-03-29 Commissariat A L'energie Atomique Et Aux Engergies Alternatives Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor
FR3016889B1 (fr) * 2014-01-24 2016-01-22 Commissariat Energie Atomique Procede de reaslisation par ald d'une couche mince de formule myx
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
DE102014108352A1 (de) 2014-06-13 2015-12-17 Forschungszentrum Jülich GmbH Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9318319B2 (en) 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
CN109075021B (zh) 2016-03-03 2023-09-05 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
WO2017161236A1 (en) 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US11066747B2 (en) 2016-04-25 2021-07-20 Applied Materials, Inc. Chemical delivery chamber for self-assembled monolayer processes
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
KR102359908B1 (ko) * 2019-10-08 2022-02-09 주식회사 유진테크 박막 증착장치 및 박막 증착방법
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5585167A (en) * 1992-05-18 1996-12-17 Matsushita Electric Industrial Co., Ltd. Thin-film conductor and method of fabricating the same
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
EP0861338A1 (de) * 1995-11-13 1998-09-02 IST Instant Surface Technology S.A. Verfahren zur oberflächenbehandlung sowie vorrichtung zur dessen durchführung
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5851849A (en) * 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014205536A1 (de) 2014-03-25 2015-10-01 Robert Bosch Gmbh Mikromechanisches Bauelement mit Antihaftschicht und entsprechendes Herstellungsverfahren

Also Published As

Publication number Publication date
JP3798248B2 (ja) 2006-07-19
US20020192955A1 (en) 2002-12-19
EP1125324A4 (de) 2004-10-27
EP1125324A1 (de) 2001-08-22
DE1125324T1 (de) 2002-04-18
KR100489140B1 (ko) 2005-05-17
ATE323948T1 (de) 2006-05-15
EP1125324B1 (de) 2006-04-19
JP2002539326A (ja) 2002-11-19
US20010002280A1 (en) 2001-05-31
US6475910B1 (en) 2002-11-05
US6200893B1 (en) 2001-03-13
WO2000054320A1 (en) 2000-09-14
AU3479100A (en) 2000-09-28
US6630401B2 (en) 2003-10-07
US20020192954A1 (en) 2002-12-19
DE60027401D1 (de) 2006-05-24
US6638862B2 (en) 2003-10-28
US6602784B2 (en) 2003-08-05
US20020197864A1 (en) 2002-12-26
KR20020010580A (ko) 2002-02-04
US6451695B2 (en) 2002-09-17

Similar Documents

Publication Publication Date Title
DE60027401T2 (de) Radikal-unterstützte sequentielle gasphasenabscheidung
DE60038250T2 (de) Apparat und verfahren für die minimierung parasitischer cvd während der atomschicht-beschichtung
DE10123858B4 (de) Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht
DE10137088B4 (de) Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen
DE60012733T2 (de) Wiederholte cvd-ablagerung
DE10049257B4 (de) Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
DE60318173T2 (de) Verfahren zur Abscheidung von Atomschichten aus Metallen
DE19820147B4 (de) Verfahren zur Bildung einer leitfähigen Schicht mittels eines atomaren Schichtdepositionsprozesses
DE60019789T2 (de) Verfahren zum modifizieren von chemikalien in einem ald-verfahren
DE60315850T2 (de) Verfahren zur herstellung von siliziumnitridfilmen und siliziumoxinitridfilmen durch thermische chemische aufdampfung
DE60004527T2 (de) Plasmabehandlung von durch thermische cvd aus tantalhalogenid-vorläufern erhaltenen tan schichten
DE3709066C2 (de)
DE10132882A1 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE102004016162A1 (de) Verfahren zum Bilden eines Metalloxidfilmes
DE60225751T2 (de) Verfahren zur Herstellung von einer Mehrkomponenten-Dünnschicht
DE19653493B4 (de) Verfahren zur Ausbildung einer integrierten Schaltungseinrichtung mit Titansilicidschicht, integrierte Schaltungseinrichtung mit Titansilicidschicht und Vorrichtung zur Erzeugung derselben
DE10156932A1 (de) Verfahren zur Abscheidung dünner Praseodymoxid-Schichten mittels ALD/CVD-Verfahren
DE10357756B4 (de) Verfahren zur Herstellung von Metall-Oxynitriden durch ALD-Prozesse unter Verwendung von NO und/oder N2O

Legal Events

Date Code Title Description
8364 No opposition during term of opposition