DE60012733T2 - Wiederholte cvd-ablagerung - Google Patents

Wiederholte cvd-ablagerung Download PDF

Info

Publication number
DE60012733T2
DE60012733T2 DE60012733T DE60012733T DE60012733T2 DE 60012733 T2 DE60012733 T2 DE 60012733T2 DE 60012733 T DE60012733 T DE 60012733T DE 60012733 T DE60012733 T DE 60012733T DE 60012733 T2 DE60012733 T2 DE 60012733T2
Authority
DE
Germany
Prior art keywords
reactant
film
thin film
gaseous
thin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60012733T
Other languages
English (en)
Other versions
DE60012733D1 (de
Inventor
Arthur Sherman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
Sherman, Arthur, Menlo Park
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23121926&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE60012733(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Sherman, Arthur, Menlo Park filed Critical Sherman, Arthur, Menlo Park
Application granted granted Critical
Publication of DE60012733D1 publication Critical patent/DE60012733D1/de
Publication of DE60012733T2 publication Critical patent/DE60012733T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Description

  • HINTERGRUND DER ERFINDUNG
  • Die vorliegende Erfindung betrifft Verfahren und Vorrichtungen zur Niedrigtemperatur-Abscheidung von festen dünnen Filmen eines oder mehrerer Elemente, indem das zu beschichtende Objekt nacheinander chemisch reaktiven Gasspezies ausgesetzt wird. Sie beschreibt auch mehrere Anwendungen für Filme, die mit solchen Verfahren erzeugt wurden.
  • CVD-Reaktortechnologie
  • Reaktoren für die chemische Gasphasen-Abscheidung (CVD-Reaktoren) sind seit mehreren Jahrzehnten in Gebrauch, um feste dünne Filme abzuscheiden; typische Anwendungen sind die Beschichtung von Werkzeugen, die Herstellung von integrierten Schaltkreisen und die Beschichtung von Schmuck (A. Sherman, Chemical Vapor Deposition for Microelectronics, Noyes Publications, New Jersey, 1987). Bis in die 1960er Jahre wurde beim Betrieb vieler CVD-Reaktoren ein erhitztes Objekt oder Substrat einem gleichmäßigen Strom eines oder mehrerer chemisch reaktiver Gase bei entweder Atmosphärendruck oder erniedrigtem Druck ausgesetzt. Da es allgemein erwünscht war, Filme mit einer möglichst hohen Rate bei möglichst niedrigen Temperaturen abzuscheiden, waren die zur Erzeugung des Films verwendeten Gase hochreaktiv (z.B. Silan plus Sauerstoff zur Abscheidung von Siliciumdioxid). Werden die Gase längere Zeit vor dem Auftreffen auf dem Substrat vermischt, können Reaktionen in der Gasphase auftreten, und in extremen Fällen kann eine Gasphasennukleation erfolgen und Teilchen gebildet werden, anstatt dass ein kontinuierlicher Film abgeschieden wird. Gleichzeitig wird es durch die hohe Abscheidungsrate und die reaktiven Gase schwierig, Substrate mit großen Oberflächen gleichmäßig zu beschichten. Diese Probleme bewirken, dass handelsübliche CVD-Reaktoren hochkomplex und teuer sind. Ein weiterer Nachteil dieses Verfahrens ist, dass uneinheitliche Oberflächen in einigen Fällen nicht gleichmäßig von dem Film beschichtet werden. Dies ist bei der Herstellung von integrierten Schaltkreisen besonders nachteilhaft.
  • In den 1960ern wurde erkannt, dass die für die Abscheidung eines dünnen Films benötigte Temperatur auf annehmbare Werte reduziert werden konnte, indem in dem reaktiven Gasgemisch eine Glimmentladung bei niedrigem Druck erzeugt wurde. Durch die Glimmentladung werden viele hochenergetische Elektronen erzeugt, welche einen Teil der reaktiven Gase zersetzen; diese Gasfragmente (Radikale) sind auch bei gemäßigten Temperaturen äußerst reaktiv, wenn sie auf eine Oberfläche auftreffen. Obwohl die Verwendung einer Glimmentladung den Betrieb bei niedrigeren Temperaturen zulässt, sind im Handel befindliche Reaktoren sehr komplex und teuer, da die gleichmäßige Abscheidung über große Oberflächen wegen der einer Glimmentladung inhärenten Unregelmäßigkeit eher noch schwieriger ist, und zusätzliche Kosten für eine komplexe Hochenergie-Stromversorgung anfallen. Wegen der hochreaktiven Natur der Radikale führt dieses Verfahren auch häufig zu einer Verschlechterung der Gleichmäßigkeit des Filmes.
  • In den 1970er Jahren wurde in Finnland von T. Suntola und J. Antson das Verfahren der atomaren Schichtepitaxie (atomic layer epitaxy, ALE) entwickelt. In der US-Patentschrift 4,058,430 ist beschrieben, wie feste dünne Filme auf erhitzten Objekten abgeschieden werden. Dieses Verfahren umfasst das Behandeln der erhitzten Oberfläche mit einem ersten verdampften gasförmigen Element, um eine Monolage des Elements auf der Oberfläche zu bilden, und Entfernen des Überschusses durch Evakuieren der Kammer mit einer Vakuumpumpe. Eine Monolage bedeutet, dass eine Schicht von Atomen oder Molekülen mit der Dicke eines Atoms oder Moleküls die gesamte oder einen Teil der Oberfläche bedeckt. Danach wird ein zweites verdampftes gasförmiges Element in die Reaktorkammer eingebracht. Das erste und das zweite Element erzeugen zusammen einen dünnen festen monolagigen Verbundfilm. Sobald der Verbundfilm gebildet wurde, wird der Überschuss des zweiten Elements entfernt, indem die Kammer wiederum mit der Vakuumpumpe evakuiert wird. Die erwünschte Filmdicke wird erreicht, indem der Zyklus mehrere (z.B. Tausende) Male wiederholt wird.
  • In der später erteilten US-Patentschrift 4,389,973 (T. Suntola, A. Pakkala und S. Lindfors, 1983) wurde eine Verbesserung dieses Verfahrens beschrieben. Die Filme wurden aus gasförmigen Verbindungen anstelle von verdampften Elementen abgeschieden, so dass dieses Verfahren eher der CVD-Abscheidung ähnelt. Dies ist besonders vorteilhaft, wenn ein Bestandteil des erwünschten Filmes ein Metall mit einem niedrigen Dampfdruck ist, da die Verdampfung von Metallen ein schwer zu kontrollierendes Verfahren ist. Mit diesem Ansatz werden Filme von Fließreaktoren gebildet, die einem herkömmlichen CVD-Reaktor ähneln, wobei der Überschuss jeden Gases durch Spülen des Reaktors mit einem Spülgas zwischen jedem Behandlungszyklus entfernt wird. Dieser Ansatz ist auf einige wenige Filme beschränkt und hängt davon ab, dass entsprechende Vorläuferverbindungen zur Verfügung stehen. Die Filme sind auch insgesamt nicht in erwünschter Weise frei von Verunreinigungen. Dieses Verfahren wird hier als sequentielle chemische Gasphasen-Abscheidung bezeichnet.
  • Ein alternativer Ansatz zum Betrieb eines Reaktors zur sequentiellen chemischen Gasphasen-Abscheidung ist der Betrieb in einem Vakuum ohne Spülgas, wobei der Überschuss der gasförmigen Verbindung jeder Sequenz durch Vakuumpumpen entfernt wird, gleich dem Original-Suntola-Verfahren von 1977 (H. Kumagai, K. Toyoda, M. Matsumoto und M. Obara, Comparative Study of Al2O3 Optical Crystalline Thin Films Grown by Vapor Combinations of Al(CH3)3/N2O and Al(CH3)3/X2O2, Jpn. J. Appl. Phys. Vol. 32, 6137 (1993)).
  • Eine frühe Anwendung der sequentiellen chemischen Gasphasen-Abscheidung war die Abscheidung von polykristallinen dünnen ZnS-Filmen zur Verwendung in elektrochromen Flachbildschirmen (M. Leskelä, Atomic Layer Epitaxy in the Growth of Polycrystalline and Amorphous Films, Acta Polytechnica Scandinavica, Kap. 195, 1990). Zusätzliche Untersuchungen haben gezeigt, dass andere kommerziell wichtige feste Filme unterschiedlicher Verbindungen, sowohl amorph als auch polykristallin, mittels dieses Verfahrens auf großflächige Glasunterlagen abgeschieden werden können. Unter diesen anderen Filmen sind Sulfide (Strontiumsulfid, Calciumsulfid), Übergangsmetallnitride (Titannitrid) und -oxide (Indium-Zinnoxid, Titandioxid). Andererseits wurde dieses Verfahren zur Abscheidung von epitaktischen Schichten von Gruppe III-V (Gallium-Indiumphosphid) und Gruppe II-VI (Zinkselenid) Halbleitern als Alternative zu dem viel teureren Molekularstrahl-Epitaxieverfahren entwickelt.
  • Nach Kenntnis des Anmelders bezieht sich die Literatur zur sequentiellen chemischen Gasphasen-Abscheidung von Elementfilmen nur auf die Abscheidung der Halbleiterelemente der Gruppe IVA, wie Silicium und Germanium. Eine Untersuchung (S.M. Bedair, Atomic Layer Epitaxy Deposition Process, J. Vac. Sci. Technol. B 12(1), 179 (1994)) beschreibt die Abscheidung von Silicium aus Dichlorsilan und atomarem Wasserstoff, welcher mittels eines heißen Wolframdrahts erzeugt wird. Dieses Verfahren beschreibt die Abscheidung von epitaktischen Filmen bei 650°C. Die Abscheidung von Diamant-, Zinn- und Eisenfilmen zusätzlich zu Silicium und Germanium durch ein Extraktions-/Austauschverfahren in Verbindung mit einem sequentiellen Verfahrensschema ähnlich dem der sequentiellen chemischen Gasphasen-Abscheidung wurde in der US-Patentschrift 5,225,366 (M. Yoder) beschrieben. Obwohl einige dieser Untersuchungen Verfahren beschrieben haben, die bei gemäßigten Temperaturen brauchbar sein können, wird für die meisten Verfahren eine unerwünscht hohe Substrattemperatur (300 bis 600°C) benötigt, um eine sequentielle chemische Gasphasen-Abscheidung für das Wachstum von Filmen mit der erwünschten hohen Qualität zu erreichen.
  • Bei niedrigen Temperaturen abgeschiedene gleichmäßige Filme für die Herstellung integrierter Schaltkreise
  • Ein fortdauerndes Problem bei der kommerziellen Herstellung integrierter Schaltkreise betrifft die gleichmäßige Abscheidung dielektrischer (z.B. Siliciumdioxid, Siliciumnitrid) oder leitender (z.B. Aluminium-, Titannitrid) dünner fester Filme auf Wafern mit großer Oberfläche (z.B. 12 inch (30,48 cm) Durchmesser). Ein Film ist dann gleichmäßig, wenn er die Gestalt der Oberfläche, auf der er abgeschieden wurde, genau wiedergibt.
  • In einem Artikel (D.J. Ehrlich und J. Melngailis, Fast Room-Temperature Growth of SiO2 Films by Molecular-layer Dosing, Appl. Phys. Lett. 58, 2675 (1991)) wird über einen Versuch berichtet, bei welchem Siliciumdioxid aus Siliciumtetrachlorid und Wasser schichtweise abgeschieden wird. Obwohl der Film sehr gleichmäßig erscheint, wird die Qualität und Dichte des Films nicht diskutiert, und wahrscheinlich sind diese Filme porös, wodurch sie für eine Anwendung als dünne Schicht ungeeignet sind. Diese Schlussfolgerung wird auch durch eine Studie von J. F. Fan, K. Sugioka und K. Toyoda, Low-Temperature Growth of Thin Films of Al2O3 with Trimethylaluminum and Hydrogen Peroxide, Mat. Res. Soc. Symp. Proc. 222, 327 (1991) gestützt. Hierin wird die Abscheidung von Aluminiumoxid bei 150°C mit der Abscheidung bei Raumtemperatur verglichen. In diesem Fall verringerte sich die Dicke des bei Raumtemperatur abgeschiedenen Films von 2270 Å (227 nm) auf 1200 Å (120 nm), nachdem dieser für 15 Minuten bei 150°C getempert wurde, wodurch die hohe Porosität des bei Raumtemperatur abgeschiedenen Films bestätigt wurde. Bei einem weiteren Versuch zur Abscheidung von Siliciumdioxid durch sequentielle chemische Gasphasen-Abscheidung wird Silan und Sauerstoff verwendet (M. Nakano, H. Sakaue, H. Kawamoto, A. Nagata, M. Hirose und Y Horiike, Digital Chemical Vapor Deposition of SiO2, Appl. Phys. Lett. 57, 1096 (1990)). Obwohl diese bei 300°C abgeschiedenen Filme von besserer Qualität zu sein scheinen, waren sie nicht vollkommen gleichmäßig, und Löcher konnten nur bis zu einem Aspektverhältnis von 3:1 gefüllt werden. Die moderne Technologie integrierter Schaltkreise verlangt jedoch, dass Löcher und Gräben mit Aspektverhältnissen weit über 3:1 beschichtet werden können.
  • Ein weiterer technisch bedeutender dünner fester Film, der mit hoher Reinheit und bei niedrigen Temperaturen gleichmäßig über großflächige Wafer abgeschieden werden muss, ist ein mehrschichtiger Film aus Titan und/oder Titansilicid plus Titannitrid. Hier muss eine dünner Titan- und/oder Titansilicidschicht auf einem Siliciumkontakt abgeschieden werden (100 Å (10 nm)), gefolgt von einer Schicht Titannitrid (3-400 Å (0,3 – 40 nm). In einer Veröffentlichung von K. Hiramatsu, H. Ohnishi, T. Takahama und K. Yamanishi, Formation of TiN Films wich Low Cl Concentration by Pulsed Plasma Chemical Vapor Deposition, J. Vac. Sci. Techn. A14(3), 1037 (1996) beschreiben die Autoren, dass Titannitrid-Filme bei 200°C aus Titantetrachlorid und Wasserstoff und Stickstoff mit einem Verfahren mit abwechselnden Sequenzen abgeschieden werden können. Der Chloridgehalt der Filme betrug jedoch 1%, und es wurde kein Versuch unternommen, reines Titanmetall oder Titansilicid abzuscheiden. Auch war der verwendete Reaktor einem herkömmlichen teuren plasmaverstärktem CVD-Reaktor sehr ähnlich.
  • Die EP-A-0 442 490 (Sumitomo Electric Industries) beschreibt die Abscheidung eines Bornitridfilmes durch sequentielle CVD. Der erste Reaktand ist B2H6, der zweite NH3 oder mit Plasma vorgecrackter N2. Zwischen jeder Nachschubperiode wird die Kammer evakuiert oder mit inaktivem Gas gespült, um ein Vermischen der beiden Rohmaterialien zu vermeiden. Diese Sequenz wird wiederholt. Schließlich werden seit vielen Jahren auch gesputterte Aluminiumfilme verwendet, um integrierte Schaltkreise herzustellen. Leider ist jedoch das Aufsputtern ein Sichtlinien-Abscheidungsverfahren, so dass die Filme oft nicht gleichmäßig ausfallen. In den letzten Jahres hat sich dieses Problem verschärft, da dichtere Schaltkreise Löcher mit höheren Aspektverhältnissen erfordern, die gefüllt werden müssen. Aus diesen Gründen wurden viele Versuche unternommen, ein Verfahren zur chemischen Gasphasen-Abscheidung zu finden, das eine hohe Gleichmäßigkeit ergibt, und verschiedene Verfahren wurden erfolgreich von R.A. Levy und M.L. Green, Low Pressure Chemical Vapor Deposition of Tungsten and Aluminum for VLSI Applications, J. Electrochem. Soc. Vol. 134, 37C (1987) beschrieben. Obwohl gleichmäßige dünne Aluminiumfilme mittels CVD abgeschieden werden können, sind diese Filme immer noch nicht für eine Verwen dung in Schaltkreisen brauchbar, da Aluminium zur Elektronenmigration neigt und zur Vermeidung dieses Problems bevorzugt einige Prozent an Kupfer zugeben werden. Es war lediglich ein Versuch erfolgreich, den Aluminium-Vorläufern Kupfer-Vorläufer zuzugeben (siehe E. Kondoh, Y. Kawano, N. Takeyasu und T. Ohta, Interconnection Formation by Doping Chemical-Vapor-Deposition Aluminum with Copper Simultaneously: Al-Cu CVD, J. Electrochem. Soc. Vol. 141, 3494 (1994). Das Problem besteht darin, dass es zwar CVD-Verfahren zur Abscheidung von Kupfer gibt, aber die verwendeten Vorläufer mit den Aluminium-Vorläufern in der Gasphase reagieren und die gleichzeitige Abscheidung von Aluminium und Kupfer verhindern.
  • Herstellung eines Verbunds
  • Es wurden viele Methoden zur Herstellung von Verbundmaterialien entwickelt, da diese eine ungewöhnliche Stärke aufweisen. Ein Weg zur Herstellung dieser Materialien ist die Herstellung eines Preßlings aus Stoff (z.B. aus aus Kohlenstofffasern hergestellten Fäden), worauf dieser Preßling Kohlenwasserstoffgas bei hohen Temperaturen ausgesetzt wird. Der Kohlenwasserstoff wird dann pyrolysiert, wobei sich Kohlenstoff auf dem Kohlenstoff-Preßling abscheidet. Leider ist dieses Verfahren nicht sehr gleichmäßig, so dass die äußeren Poren des Preßlings versiegelt werden, bevor das Innere beschichtet werden kann, und das Verfahren vorzeitig abgebrochen werden muss. Der Preßling muss dann bearbeitet werden, um die äußere Schicht zu entfernen, und ein weiteres Beschichten ist erforderlich. Dieses langsame und sehr kostenintensive Verfahren wird in der Literatur als chemische Dampfphasen-Infiltration (CVI) bezeichnet (s. z.B. Proceedings of the Twelfth International Symposium on Chemical Vapor Deposition 1993, Hrsgb. KF. Jensen und G.W. Cullen, Proceedings Vol. 93-2, The Electrochemical Society, Pennington, NJ) .
  • Beschichtung von Aluminium mit Aluminiumoxid
  • Bekanntermaßen ist die Beschichtung von Aluminium mit einer dünnen Schicht von Oxid hervorragend geeignet, um dieses Material vor einer Korrosion durch die Elemente zu schützen. In herkömmlicher Weise wird dabei Aluminium mit einem nasselektrochemischen Verfahren anodisiert (Corrosion of Aluminum and Aluminum Alloys, Vol. 13, Metals Handbook, ASM, Metals Park, OH, 1989). Krater und andere Fehler in der anodisierten Schicht sind die Ursache für ein lokales Versagen des Korrosionsschutzes der anodisierten Schicht. Die Ursache dieser Krater ist, dass das nasse Anodisierungsverfahren auf dem vorhandenen Aluminium als Aluminiumquelle für die Aluminiumoxidbeschichtung beruht, und das vorhandene Aluminium viele Unreinheiten und Fehler aufweisen kann. Ein bevorzugtes Verfahren wäre, das erwünschte Aluminiumoxid aus einer externen Quelle abzuscheiden. Obwohl hierfür ein CVD-Verfahren in Frage käme, wurde dies bisher nicht untersucht, da ein herkömmliches CVD-Verfahren Temperaturen von 1.000°C benötigt, und diese Temperatur die Schmelztemperatur des vorhandenen Aluminiums bei weitem übersteigt.
  • Tieftemperatur-Löten
  • Bei der Herstellung von Keramiken mit hoher Dichte und hoher Temperaturfestigkeit treten große Schwierigkeiten auf, wenn ungewöhnliche Formen mit großer Genauigkeit hergestellt werden müssen. Häufig wird die Keramik im "grünen" Stadium geformt, in noch weichem Zustand bearbeitet und anschließend bei hoher Temperatur gebrannt. Nach dem Brennen kann ein weiteres Bearbeiten des erhaltenen keramischen Teils mit hoher Dichte notwendig sein, beispielsweise mit Diamant-Schleifscheiben, um die erwünschte Maßgenauigkeit zu erreichen. In einigen Fällen wird dieses zusätzliche Bearbeiten durch die Farm des Teils erschwert und teuer, und in einigen Fällen kann es vorkommen, dass die zu schleifende Oberfläche nicht zugänglich ist. Ein alternatives Verfahren, ungewöhnliche Formen von maßgenau gefertigten Keramiken miteinander zu verbinden, ist das Hochtemperatur-Löten keramischer Teile. In einigen Fällen ist das Lötmetall nicht mit der erwünschten Verwendung kompatibel. Die beim Löten von Metallen bevorzugte hohe Temperatur erschwert auch die Verbindung von Teilen mit unterschiedlichen thermischen Expansionskoeffizienten. Beispielsweise ist es nicht möglich, Aluminium an Aluminiumkeramik zu löten, da die herkömmliche Löttemperatur den Schmelzpunkt des Aluminiums weit übersteigt.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Nach einem erfindungsgemäßen Aspekt wird ein Verfahren zum Abscheiden eines dünnen Filmes durch sequentielle chemische Gasphasen-Abscheidung bereitgestellt, welches eine Vielzahl von Zyklen beinhaltet. Mindestens ein Zyklus umfasst das Einbringen eines Teils in eine Kammer und Behandeln des Teils mit einem ersten gasförmigen Reaktans, welches ein Element des zu bildenden dünnen Films beinhaltet. Mindestens ein Anteil des ersten Reaktans wird auf dem Teil adsorbiert. Das erste gasförmige Reaktans wird aus der Kammer entfernt. Der auf dem Teil adsorbierte Anteil des ersten Reaktans wird entweder in ein Element oder in eine Verbindung überführt, indem das Teil einem zweiten gasförmigen Reaktans ausgesetzt wird. Dieses zweite Reaktans enthält Radikale, die durch Plasmaentladung gebildet wurden. Durch diese Überführung wird ein dünner Film gebildet. Anschließend wird das zweite gasförmige Reaktans aus der Kammer entfernt.
  • Da der Film in Monolagen abgeschieden werden kann, ist der auf dem Teil gebildete Film gleichmäßig und weist eine einheitliche Dicke auf. Bei der vorliegenden Erfindung können kostengünstige Reaktoren verwendet und viele Teile gleichzeitig beschichtet werden. Zur Bildung eines Films aus drei Elementen wird mit einem zusätzlichen Schritt ein drittens Reaktans in den Verfahrenszyklus eingeführt. Es kann ein stabiler Verbundfilm aus einer beliebigen Anzahl von Elementen gebildet werden, indem Monolagen aus gasförmigen Vorstufen dieser Elemente gebildet werden. Solche Vorstufen können beispielsweise Halogenide oder organometallische Verbindungen sein.
  • Es ist ein Ziel der Erfindung, das Abscheiden von dünnen Filmen beliebiger Elemente zu erleichtern, indem ein Generator zur Erzeugung von Radikalen verwendet wird, um hochreaktive Gase (Radikale) zu erzeugen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 zeigt eine schematische Zeichnung eines sequentiellen CVD-Reaktors nach einer Ausführungsform der vorliegenden Erfindung, der zur Abscheidung von elektrisch nicht leitenden Filmen geeignet ist.
  • 2 veranschaulicht einen Verfahrenszyklus für das sequentielle CVD-Verfahren.
  • 3 zeigt eine schematische Zeichnung eines sequentiellen CVD-Reaktors nach einer Ausführungsform der vorliegenden Erfindung, der zur Abscheidung eines beliebigen Films, leitend oder nicht leitend, geeignet ist.
  • 4 veranschaulicht einen alternativen Verfahrenszyklus für das sequentielle CVD-Verfahren.
  • DETAILBESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • 1 zeigt einen Querschnitt durch ein Reaktorgefäß 2 aus nicht leitender dielektrischer Keramik (z.B. ein Quarzzylinder) für die Abscheidung eines elektrisch nicht leitenden Films auf einem elektrisch nicht leitenden Teil. Das Reaktorgefäß 2 bildet eine Kammer, die an einem Ende mit einem Flansch 8 verschlossen ist, durch den Gase eingeleitet werden, und die an dem anderen Ende mit einem Flansch 4 geschlossen ist, der über ein pneumatisch gesteuertes Elektromagnet-Schließventil 36 mit einer Vakuumpumpe 38 verbunden ist. Jeder Flansch weist eine O-Ringdichtung 6 auf, um einen Vakuumbetrieb zu ermöglichen. Das Teil 12 wird auf einem elektrisch nicht leitenden Halter 10 in das Reaktorgefäß 2 eingebracht. Ein Vakuummesser 26 überwacht den Druck in der Kammer während des Betriebs. Ein erstes Reaktans 28 wird als Gas in die Kammer eingeführt, indem eine Flüssigkeit oder ein Feststoff in der Flasche 30 mittels einer Temperiervorrichtung 32 mit einem geeigneten Dampfdruck zur Weiterleitung an die Kammer verdampft wird. In vielen Fällen liefert die Temperiervorrichtung 32 Wärmeenergie an das erste Reaktans in der Flasche 30. Andererseits kann jedoch die Temperiervorrichtung auch das erste Reaktans in der Flasche 30 kühlen.
  • Der erste Reaktand 28 ist eine Verbindung, die die Elemente der Monolage enthält, die auf dem Teil 12 gebildet werden soll, wie beispielsweise die in den nachstehenden Beispielen 1 bis 11 angeführten ersten Reaktanten.
  • Der erste Reaktand 28 wird über das elektromagnetisch betriebene pneumatische Ventil 20 durch das verzweigte Rohr 18 in das Reaktorgefäß 2 eingeführt. 1 veranschaulicht ein System mit zwei Flaschen 30 und 31, die jeweils einen ersten Reaktanden 28 und 29 enthalten; der zu bildende Filmtyp entscheidet jedoch über die Anzahl der Flaschen und Reaktanden. Ist beispielsweise ein ternärer Film erwünscht, umfasst das System drei Flaschen und drei Ventile. Ein herkömmlicher digitaler Mikrokontroller 40 steuert das Öffnen und Schließen der Ventile 20 und 22, um die ersten Reaktanden zu den geeigneten Zeiten in die Kammer einzuleiten, wie in 2 veranschaulicht ist.
  • Während des Betriebs wird durch den ersten Reaktanden 28, der aus der Flasche 30 in die Dampfphase abgegeben wird, eine Monolage des ersten Reaktanden auf dem zu beschichtenden Teil 12 abgeschieden. Diese Monolage wird umgesetzt, indem sie einem Strom von Radikalen ausgesetzt wird, der mittels einer mit einer RF-Stromversorgung 16 angeregten Elektromagnetspule 14 aus Molekülen erzeugt wird, welche aus einer Glasflasche 34 eingeführt werden. Die RF-Stromversorgung 16 wird durch den Mikrokontroller-Schaltkreis 40 gesteuert.
  • 2 veranschaulicht einen Verfahrenszyklus zur Ausbildung dünner Filme in dem in 1 dargestellten Reaktorgefäß. Anfangs wird die Kammer des Reaktorgefäßes 2 durch die Vakuumpumpe 38 evakuiert. Anschließend schließt sich das Auslassventil 36 und Ventil 20 öffnet sich für einen kurzen Zeitraum, um den ersten Reaktionspartner 28 in einer ausreichenden Menge einzuführen, um eine Monolage von Molekülen auf dem zu beschichtenden Teil 12 auszubilden. Nachdem die Monolage gebildet wurde, wird das Reaktorgefäß 2 abermals mittels der Vakuumpumpe 38 evakuiert, um überschüssigen ersten Reaktionspartner zu entfernen. Danach wird für einen kurzen Zeitraum ein zweiter Reaktionspartner aus der Flasche 34 in das Reaktorgefäß 2 eingeführt, während die Elektromagnetspule 14 durch die RF-Stromversorgung 16 angeregt wird und Radikale erzeugt werden. Dieser Schritt wird so lange durchgeführt, bis die Radikale die Monolage des ersten Reaktans vollständig umgesetzt haben. Schließlich wird das Reaktorgefäß 2 abermals mittels der Vakuumpumpe 38 evakuiert, womit der erste Zyklus beendet ist. Der Verfahrenszyklus kann anschließend wiederholt werden, bis die erwünschte Dicke des Films erreicht ist.
  • Falls der abzuscheidende Film elektrisch leitend sein soll, wird das Reaktorgefäß 2 mit einem leitenden Film beschichtet, der gegebenenfalls das von der Elektromagnetspule 14 bereitgestellte anregende elektrische Feld abschirmt. Um unnötiges Reinigen des Reaktors zu vermeiden, liefert die vorliegende Erfindung in einer anderen Ausführungsform das in 3 dargestellte Reaktorgefäß 3. Der Ablassflansch 4 stellt einen Zugang zu dem Inneren des Reaktorgefäßes 3 bereit. Der Fluss des zweiten Reaktionspartners 42 wird in einem Radikalgenerator 44 erzeugt, der mit der Wand des Reaktorgefäßes 3 verbunden ist. Wie zuvor wird der erste Reaktand 28 aus der Flasche 30 bereitgestellt und durch das Ventil 20 und die verzweigte Rohrleitung 18 dem Reaktorgefäß 3 zugeführt. In dieser Ausführungsform kann der Halter 10 aus entweder Metall oder Keramik bestehen. Wiederum werden alle Ventile und der Radikalgenerator 44 durch den Mikrokontroller 40 gesteuert.
  • Der Radikalgenerator 44, der mit dem in 3 dargestellten Reaktorgefäß 3 verwendet werden kann, kann viele bekannte Ausführungsformen haben. In einer Ausführungsform wird eine verkleinerte Version der in 1 beschriebenen Quarzröhre 2 und der RF-Spule 14 verwendet. In dieser Ausführungsform besteht lediglich die Änderung, dass eine Endplatte mit einer kleinen Öffnung bereitgestellt wird, so dass die Radikale durch diese Düse schnell in das Reaktorgefäß fließen können. Eine Darstellung einer geeigneten Endplatte mit einem als Düse fungierenden Loch ist als rostfreie Elektrode in 1 der Veröffentlichung von A. Sherman, In Situ Removal of Native Oxide from Silicon Wafers, J. Vac. Sci. Technol. Vol. B8(4), 656 (Juli/Aug 1990) dargestellt. Diese Veröffentlichung beschreibt auch die Erzeugung von Wasserstoffradikalen unter Verwendung einer Hohl kathoden-Gleichstromentladungskammer. Eine Übersicht über andere Alternativen zur Erzeugung von Wasserstoffradikalen findet sich in einer Veröffentlichung von V.M. Bermudez, Simple, Efficient Technique for Exposing Surfaces to Hydrogen Atoms, J. Vac. Sci. Technol. Vol. A14, 2671 (1996). Ähnliche Verfahren können auch zur Erzeugung beliebiger anderer Radikale verwendet werden, die zur Bildung der hier beschriebenen Elementarfilme benötigt werden.
  • Die Auswahl des Radikalgenerators 44 sollte nicht von Überlegungen zur Gleichmäßigkeit der Verteilung der Radikale beeinflusst werden. Sofern genügend Radikale gebildet werden, die mit dem ersten Reaktionspartner umgesetzt werden, spielt ein Überschuss an Radikalen keine Rolle bei der Bildung des Films. Wichtigere Überlegungen betreffen die Vermeidung einer Einführung von Kontaminationen, die Kosten des Radikalgenerators und dessen einfacher Betrieb. Auch sollte die Reaktion zwischen jedem auf der Oberfläche des Teils absorbierten ersten Reaktionspartners und dem auf das Teil gerichteten Radikalstrom schnell und von der Oberflächentemperatur unabhängig sein. Daher sollte es möglich sein, die Abscheidung dieser dünnen Filme bei niedrigeren Temperaturen durchzuführen als in herkömmlichen sequentiellen Gasphasen-Abscheidungsverfahren, die typischerweise bei 300 bis 600°C durchgeführt werden.
  • Bei der technischen Anwendung herkömmlicher Verfahren der sequentiellen chemischen Dampfphasen-Abscheidung besteht ein Problem darin, dass die Filme langsam abgeschieden werden. Bei sehr dünnen Filmen (z.B. 100 Å (10 nm)) spielt dies eine geringe Rolle. Werden jedoch dickere Filme (z.B. 1 μm oder 10.000 Å) benötigt, kann die technische Verfügbarkeit für einige Anwendungen fraglich sein.
  • Bei dem vorliegenden Verfahren kann durch die Verwendung von entfernt erzeugten, sehr reaktiven Radikalen (z.B. Sau erstoffatome, Wasserstoffatome, Stickstoffatome usw.) das Verfahren bei Raumtemperatur durchgeführt werden. Das Verfahren weist dadurch zwei Eigenschaften auf, durch die bei dem verwendeten Reaktor ein höherer Umsatz erzielt wird.
  • Wird das Substrat mit dem ersten Reaktionspartner bei Raumtemperatur behandelt, ist es möglich, dass mehr als eine Monolage zurückbleibt, nachdem der Reaktor mit der Vakuumpumpe evakuiert wurde. Wird die Temperatur des Substrates ausreichend erniedrigt, würde der Vorläufer zu einem flüssigen Film auf der Substratoberfläche kondensieren; was offensichtlich kein bevorzugtes erfindungsgemäßes Verfahren darstellt. Wird anschließend das Substrat, auf dessen Oberfläche sich mehrere Monolagen befinden, dem zweiten Reaktionspartner (dem Radikal) ausgesetzt, kann mehr als eine Monolage des erzeugten Films pro Zyklus abgeschieden werden. Experimentelle Daten bestätigen, dass bei Raumtemperatur 3 Å (0,3 nm) Al2O3 pro Zyklus aus TMA und Sauerstoffatomen abgeschieden werden, während bei allen anderen Untersuchungen zur Herstellung von Al2O3 durch thermische (d.h. bei hoher Temperatur) sequentielle CVD Abscheidungsraten von weniger als 1 Å (0,1 nm) pro Zyklus gefunden werden.
  • Wenn zweitens die Reaktorkammer nach jeder Vorläufer-Behandlung bei dem erfindungsgemäßen Verfahren nicht komplett evakuiert werden muss, kann die pro Zyklus benötigte Zeit reduziert werden. Bei dem in der US-Patentschrift 4,389,973 (Suntola) beschriebenen Reaktor vom Strömungstyp wird ein Inertgas verwendet, um jeden Reaktionspartner nach Behandeln des Substrats zu entfernen. Als typisches Spülgas wurde Stickstoffgas verwendet. Bei dem vorliegenden Verfahren wird der zweite Reaktionspartner erzeugt, indem eine Glimmentladung in einem ansonst inerten Gas erzeugt wird (z.B. O2 → O). Es muss daher kein gesondertes inertes Gas verwenden werden, um den ersten Reaktionspartner herauszuspülen. Es kann einfach das zweite Gas verwendet werden, wobei die Entladung ausgeschaltet wird. Es ist auch nicht notwendig, das zweite Gas herauszuspülen, da es beim Ausschalten der Entladung verschwindet. Da kein spezielles Gas zum Spülen erforderlich ist, wird der Abscheidungszyklus verkürzt und vereinfacht. Dies bewirkt eine Verkürzung der Zykluszeit.
  • Es kann in einigen Fällen jedoch sein, dass die Verwendung eines reinen Gases zur Trennung der beiden Reaktionspartner in einem sequentiellen CVD-Reaktor nicht den besten Weg darstellt. Sollen Substrate beschichtet werden, die Merkmale wie Löcher oder Gräben mit hohen Aspektverhältnissen aufweisen, ist es meist besser, das vorstehend beschriebene Vakuumpumpenverfahren zu verwenden. Für einen gegebenen Reaktionspartner ist es meist schwerer, durch ein Inertgas bis zum Boden eines Loches zu diffundieren, wenn das Loch mit Inertgas gefüllt ist. Bei Anwendungen, bei denen keine Löcher mit hohen Aspektverhältnissen beschichtet werden müssen (z.B. großfläche Flachbildschirme), kann das Spülen mit Inertgas geeignet sein. In diesem Fall sollte die Verwendung des Gases, in dem eine Glimmentladung erzeugt wird, als Inertgas (wobei die Glimmentladung ausgeschaltet ist) zum Spülen den Durchsatz erhöhen.
  • Werden schließlich sehr dünne Filme dielektrischer Materialien (z . B. Al2O3, TiO2, Si3N4) mittels eines sequentiellen CVD-Verfahrens abgeschieden, kann die Oberfläche trotz der schichtweisen Ablagerung stark aufgeraut sein. Wahrscheinlich entsteht dieses Phänomen durch einen nur schlecht aufgeklärten Agglomerationsprozess während des Abscheidens des Films. Ein Verfahren, dieses Aufrauen der Oberfläche zu vermeiden, besteht darin, viele dünne Schichten abwechselnd aus zwei Materialien abzuscheiden. Wird beispielsweise ein 100 Å (10 nm) Film gewünscht, können abwechselnd Schichten von 10 Å (1 nm) Al2O3 und 10 Å (1 nm) Si3N4 abgeschieden und dies 5 Mal wiederholt werden. Dadurch sollte eine dielektrische Schicht mit einer dielektri schen Konstante von etwa 7 bis 8 entstehen, die eine gute Diffusionsbarriere darstellt, einen guten Widerstand gegen Stromdurchschläge aufweist und die gleichzeitig sehr flach ist. Durch Verwendung der vorstehend beschriebenen neuen Technik kann ein flacher mehrlagiger Film bei niedrigeren Temperaturen als zuvor möglich abgeschieden werden.
  • Beispiel 1
  • Die Abscheidung von dünnen Filmen aus Siliciumdioxid kann mit einer Siliciumvorstufe, wie Dichlorsilan, erfolgen, das durch einen Strom von Wasserstoffatomen zu elementarem Silicium reduziert werden kann (S.M. Bedair, Atomic Layer Epitaxy Deposition Process, J. Vac. Sci. Technol. B 12 (1), 179 (1994). Es ist auch möglich, elementares Silicium aus anderen Vorstufen (z.B. Silan, Tetramethylsilan) und atomarem Wasserstoff abzuscheiden. Das entstehende Silicium kann anschließend durch Behandlung mit Sauerstoff zu Siliciumdioxid umgesetzt werden. Auf diese Weise kann ein Siliciumdioxidfilm in Monolagen abgeschieden werden. Ein anderes Verfahren zur Abscheidung dieses Films ist die Verwendung einer Siliciumvorstufe, die bereits Sauerstoff enthält. Beispielsweise kann Tetraethoxysilan verwendet werden, das mit Sauerstoffatomen reduziert wird.
  • Beispiel 2
  • In einer Ausführungsform stellt die vorliegende Erfindung ein Verfahren zur Beschichtung eines Teils mit einem Film eines elementaren Metalls bereit. Zur kurzen Veranschaulichung wird nur ein Titanmetallfilm beschrieben. In diesem Beispiel kann der erste Reaktionspartner Titantetrachlorid sein, das mit niedrigem Druck in den Reaktor eingeführt wird, so dass eine Monolage an der Oberfläche des Teils adsorbiert wird. An schließend wird jeglicher Überschuss an Titantetrachlorid in der Reaktorkammer abgepumpt. Um reine Titanfilme zu bilden, kann die Oberfläche dann mit Wasserstoff in atomarer Form bei niedrigem Druck behandelt werden. Die Wasserstoffatome reagieren mit dem Chlor in der Monolage des Titantetrachlorids und bilden HCl. Der HCl-Dampf kann anschließend mit einer Vakuumpumpe abgezogen werden, und es verbleibt eine Monolage Titan. Die Dicke des Titanmetallfilms wird einfach durch die Anzahl der durchgeführten Verfahrenszyklen bestimmt. Mit diesem Verfahren kann ein Film aus jedem Element, welches bei Raumtemperatur fest ist, abgeschieden werden.
  • Die Abscheidung von dünnen Verbundfilmen aus Titan und Titannitrid kann erfolgen aus Titantetrachlorid und Wasserstoffatomen, die reines Titan ergeben, gefolgt von einer Behandlung mit Stickstoffatomen, um das Nitrid zu bilden. Alternativ kann Titantetrachlorid mit NH-Radikalen behandelt werden, um direkt Titannitridfilme zu erzeugen. Wird ein Vorläufer verwendet, der sowohl Titan- als auch Stickstoffatome enthält, z.B. Tetrakis(diethylamino)titan oder Tetrakis(dimethylamino)titan, kann eine Monolage einer dieser Spezies mit Wasserstoffatomen oder HN-Radikalen reduziert werden, um Titannitrid zu erzeugen.
  • Beispiel 3
  • Die vorliegende Erfindung liefert auch ein Verfahren zur Abscheidung eines Films aus drei oder mehr Elementen wie einem Oxynitrid, indem abwechselnd ein Oxid und dann ein Nitrid abgeschieden werden. Tatsächlich ist es nicht schwierig, ternäre Verbindungen wie Tantal/Silicium/Stickstoff abzuscheiden, die eine gute Diffusionsbarriere für fortschrittliche integrierte Schaltkreise darstellen.
  • Verschiedene binäre und ternäre Silicide können hergestellt werden, indem ein oder mehrere Metalle oder Halbleiterelemente abgeschieden werden und die Schicht mit Stickstoffatomen zum Nitrid umgewandelt wird. Beispielsweise kann eine Monolage aus reinem Silicium abgeschieden werden, und anschließend eine Monolage aus reinem Titan. Wird die entstehende Monolage des Titansilicids anschließend mit einem Strom von Stickstoffatomen zum Nitrid umgesetzt, erhält man eine ternäre Titan/Silicium/Stickstoff-Verbindung. Auch kann die Stöchiometrie des Verbundfilmes leicht geändert werden, indem einfach die für eines der Elemente verwendete Anzahl von Zyklen geändert wird. Beispielsweise kann Titandisilicid (TiS2) aus zwei Siliciumzyklen pro Titanzyklus gebildet werden.
  • Beispiel 4
  • Die Abscheidung von mit Kupfer und Silicium dotierten Aluminiumfilmen kann mit Triisobutylaluminium, Kupfer(II)acetylacetonat [(Cu(acac)2)] und Tetramethylsilan erfolgen, die jeweils mit Wasserstoffatomen reduziert werden. Die Prozentanteile der Kupfer- und/oder Siliciumdotierung können durch die Anzahl der abgeschiedenen Schichten jedes Elements eingestellt werden. Beispielsweise wird eine Dotierung von 2 Prozent erreicht, indem jeweils eine Schicht Kupfer auf 50 Schichten Aluminium abgeschieden wird.
  • Beispiel 5
  • Da mit dem sequentiellen CVD-Verfahren auch sehr poröse Teile gleichmäßig beschichtet werden können, kann eine Anzahl von wichtigen Verbundstoffen vorteilhaft hergestellt werden. Beispielsweise kann aus Methan und Wasserstoffatomen eine Kohlenstoffschicht abgeschieden werden. Diese Schicht kann dann durch Abscheidung einer Siliciumschicht, wie in Beispiel 1 beschrieben, in Siliciumcarbid umgewandelt werden. Diese Siliciumcarbidbeschichtung kann zur Beschichtung eines Preßlings aus Kohlenstofffasern verwendet werden, bis ein fester, mit Kohlenstofffasern verstärkter Siliciumcarbidkörper hergestellt ist. Die Kohlenstofffasern verleihen dem Teil hohe Festigkeit, und durch das Siliciumcarbid kann es bei hohen Temperaturen an Luft verwendet werden. Es können keramische Verbundstoffe unter Verwendung von Fasern aus Aluminiumoxid hergestellt werden, indem Aluminiumoxid auf einer vorgefertigten Form abgeschieden wird, die aus solchen Fasern hergestellt wurde. Es können auch metallische Verbundstoffe hergestellt werden, indem vorgefertigte Formen aus Metallfasern verwendet werden und Metall mit einem sequentiellen CVD-Verfahren auf der vorgefertigten Form abgeschieden wird.
  • Beispiel 6
  • Es ist bekannt, dass qualitativ gute dünne Filme aus Aluminiumoxid bei gemäßigten Temperaturen mittels sequentieller CVD abgeschieden werden können (H. Kumagai, K. Toyoda, M. Matsumoto und M. Obara, Comparative Study of Al2O3 Optical Crystalline Thin Films Grown by Vapor Combinations of Al(CH3)3/N2O and Al(CH3)3/H2O2, Jpn. J. Appl. Phys. 32, 6137 (1993)). Es ist daher möglich, anodisierte Aluminiumteile mit dieser sehr gleichmäßigen Schicht zu beschichten. Die früheren CVD-Verfahren konnten nicht verwendet werden, da sie höhere Temperaturen als den Schmelzpunkt des Aluminiums erforderten. Ein Ansatz wäre die Verwendung bekannter Methoden einer sequentiellen CVD zur Beschichtung von Aluminium. Eine alternativer Ansatz wäre, das erfindungsgemäß beschriebene Verfahren vorteilhaft anzuwenden, wobei Monolagen aus reinem Aluminium gebildet und diese Lagen anschließend mit Sauerstoffatomen oxidiert werden. Beispielsweise kann Trimethylaluminium mit Wasserstoffatomen reduziert wer den, um die Aluminiumschicht zu bilden. Diese Schicht oxidiert schnell, wenn sie mit Sauerstoff behandelt wird. Ist das Aluminium ursprünglich anodisiert, werden durch den Film aus der sequentiellen chemischen Dampfphasen-Abscheidung jegliche Defekte oder Löcher aufgefüllt.
  • Beispiel 7
  • Die Verbindung von zwei Keramikstücken mit einem reinen keramischen Material ist ein Verfahren, das mehrere einzigartige Vorteile aufweist. Beispielsweise ist die Temperaturtoleranz der verbundenen Teile so hoch wie die der Original-Keramikteile. Weiterhin wird der Struktur kein neues Material zugefügt, so dass das erhaltene verbundene Teil eine hohe Reinheit aufweist, und chemisch genauso inert ist wie die Original-Keramiken. Ein derartiges Verfahren ist heute nicht bekannt. Beispielsweise können zwei Teile aus Aluminiumoxid verbunden werden, indem, wie in Beispiel 6 beschrieben, Aluminiumoxid auf den zwei nebeneinander liegenden Teilen abgeschieden wird.
  • Beispiel 8
  • Die Kapazität eines Kondensators ist zur dielektrischen Konstante des zwischen den Kondensatorplatten angeordneten dielektrischen Materials direkt proportional. Weiter ist sie zur Dicke des Dielektrikums umgekehrt proportional. Soll die Kapazität eines integrierten Schaltkreises erhöht werden, wird herkömmlich zunächst die Dicke des bevorzugten dielektrischen thermalen SiO2 vermindert. In modernen, fortschrittlichen Schaltkreises ist die praktische Grenze der SiO2-Dicke erreicht (~ 30 Å (~ 3 nm)). Versuche, gleichmäßige lochfreie SiO2-Filme geringerer Dicke abzuscheiden, gestalten sich als schwierig. Alternativ könnte ein dielektrisches Material mit einer höheren dielektrischen Konstante abgeschieden werden, wodurch eine in der Praxis besser handhabbare Dicke des Dielektrikums erreicht werden könnte. Würde beispielsweise ein dünner Film aus Ta2O5 mit einer dielektrischen Konstante von 25 (das 6fache gegenüber Siliciumdioxid) abgeschieden, könnte der 30 Å (3 nm) Film 180 Å 18 nm) dick sein. Dies stellt eine Filmdicke dar, die leicht abgeschieden werden kann, und weitere Verbesserungen der Kapazität könnten durch eine weitere Verminderung der Dicke der Ta2O5-Schicht erreicht werden.
  • Leider ergeben sehr dünne Schichten von Ta2O5, die mit herkömmlichen CVD-Hochtemperaturverfahren auf Silicium abgeschieden werden, dielektrische Materialien mit einer dielektrischen Konstante von weit weniger als 25. Der Grund dafür ist, dass bei Beginn des Verfahrens das Silicium oxidiert wird und eine Verbundschicht aus Ta2O5 und SiO2 entsteht. Die weitaus niedrigere dielektrische Konstante des SiO2 erniedrigt den Gesamtwert der dielektrischen Konstante des Verbundfilms.
  • Bei dem vorliegenden Verfahren kann Ta2O5, falls erwünscht, bei niedrigen Temperaturen abgeschieden werden, so dass eine Oxidation des darunter liegenden Siliciums minimiert wird. Falls trotz der verwendeten niedrigen Temperatur immer noch eine Oxidation von Silicium auftritt, können eine oder mehrere Monolagen eines Sauerstoffsperrmaterials (z.B. TiN, TaN, usw.) oder eines Verbrauchsmaterials (z.B. Ta) auf dem Silicium abgeschieden werden, bevor eine Ta2O5-Abscheidung unter Verwendung von Sauerstoffradikalen erfolgt.
  • Beispiel 9
  • In letzter Zeit besteht eine Tendenz, die Aluminiumleitungen in integrierten Schaltkreisen durch Kupferleitungen zu ersetzen. Da Kupfer nur sehr schwer auf die gleiche Art wie Alu minium plasmageätzt werden kann, sind die meisten Hersteller auf ein "Damascene-" oder Einlegeverfahren übergegangen. Nach dem herkömmlichen Verfahren wird eine Kupferschicht abgeschieden, Löcher in diese Kupferschicht geätzt, und anschließend diese Löcher mit einem geeigneten dielektrischen Material aufgefüllt. Stattdessen wird hier eine Schicht eines dielektrischen Materials abgeschieden, Löcher eingeätzt, und anschließend die gesamte Oberfläche mit einer Schicht Kupfer beschichtet. Dieses Kupfer füllt alle bisher geätzten Löcher auf. Anschließend wird überschüssiges Kupfer auf der Waferoberfläche mit einem chemischmechanischen Polierschritt entfernt. Unter den verschiedenen Wegen zur Abscheidung von Kupfer hat sich als bevorzugt das stromlose Plattierungsverfahren herausgestellt. Unglücklicherweise kann Kupfer nicht auf die Oberflächen von Isolatoren mittels Elektroplattierung aufgetragen werden, so dass eine Kupfer"keim"schicht mittels CVD abgeschieden wird. Ist die Auftragung dieser "Keim"schicht gleichmäßig, kann die gesamte Kupferschicht zuverlässig beschichtet werden.
  • Neue Verfahren zur Abscheidung von reinen dünnen Kupferfilmen mittels CVD erfordern die Verwendung komplexer und teurer organometallischer Kupferverbindungen. Dieser Weg wird bevorzugt, da alle zur Verfügung stehenden Kupferhalogenverbindungen, die kostengünstig sind, Feststoffe mit einem hohen Schmelzpunkt darstellen, und ihre kontrollierte Verdampfung zur Einführung in eine CVD-Reaktorkammer schwierig ist.
  • Durch die Flexibilität des vorstehend beschriebenen Verfahrens kann eine kostengünstige organometallische Kupfer-Sauerstoff-Verbindung (z.B. Kupfer II 2,4-pentandionat C10H14O4Cu, das stabil ist, einen Dampfdruck von 10 mtorr (1,33 Pa) bei 100°C aufweist und kostengünstig ist) verwendet und durch Behandlung mit Sauerstoffatomen zu CuO reduziert werden. In einem zweiten Schritt kann anschließend die Monolage des CuO durch Behandlung mit Wasserstoffatomen zu elementarem Kupfer reduziert werden. Durch Wiederholung dieses Verfahrens über viele Zyklen können reine dünne Kupferfilme jeder gewünschten Dicke hergestellt werden. Wird gleichzeitig eine Diffusionsbarriereschicht zwischen dem Kupfer und dem darunter liegenden Si und SiO2 benötigt, wie TiN, können beide Schichten nacheinander im gleichen System abgeschieden werden. Dadurch kann das Herstellungsverfahren erheblich vereinfacht werden.
  • Beispiel 10
  • Bei der Abscheidung einer Monolage eines Elements oder einer Verbindung in einem Blindloch mit einem sehr hohen Aspektverhältnis (z.B. 10:1) wird zunächst das gesamte Gas aus dem Loch evakuiert. Anschließend wird das Loch mit Vorläufer-Molekülen behandelt, die an der Oberfläche des Loches adsorbiert werden und auch das Volumen des Loches ausfüllen. Darauf werden die Vorläufer-Moleküle, die das innere Volumen des Loches ausfüllen, mittels Abpumpen mit einer Vakuumpumpe entfernt. Der nächste Verfahrensschritt besteht in einer Behandlung der adsorbierten Monolage mit einem Radikalstrom, der sie in die erwünschte Monolage einer Spezies eines Feststoffs umwandelt.
  • Bei Fällen, in denen Blindlöcher mit einem extrem hohen Aspektverhältnis vorhanden sind, muss auch auf ein weiteres Phänomen eingegangen werden. Bei der Diffusion des Radikalstromes in das evakuierte Volumen des Loches werden Reaktionsprodukte durch Oberflächenreaktionen freigesetzt. Werden beispielsweise adsorbierte TMA-Moleküle von Sauerstoffatomen angegriffen, wird eine Monolage aus Al2O3 gebildet und Reaktionsprodukte wie H2O, CO2 und CO gebildet. Ist das Loch sehr lang und schmal, ist es möglich, dass Moleküle dieser Reaktionsprodukte die Diffusion von Radikalen zu dem Grund des Blindloches behindern, falls die Behandlung mit Radikalen nicht für eine unpraktikabel lange Zeit durchgeführt wird.
  • Dieses praktische Problem kann gelöst werden, indem sehr lange Blindlöcher dem Radikalstrom in Zyklen ausgesetzt werden, wie sie in 4 veranschaulicht sind. Nach einer kurzen Behandlung der Vorläufer-Monolage mit den Radikalen wird also die Kammer mittels einer Vakuumpumpe evakuiert. Dadurch werden jegliche gasartige Reaktionsprodukte, die eine Diffusion der Radikale in das Loch verhindern können, entfernt. Anschließend wird eine zweite Behandlung mit dem Radikalstrom durchgeführt. Falls erwünscht, kann dieses Verfahren mehrmals wiederholt werden, um die bevorzugten Reaktion am Ende des sehr langen und schmalen Blindloches zu erreichen.
  • Beispiel 11
  • Bei der Abscheidung metallischer Filme auf ursprünglich teilweise nichtmetallische Oberflächen durch sequentielle CVD ist es möglich, dass die Abscheidung selektiv verläuft. Bei der Beschichtung beispielsweise einer Saphirprobe auf einem Halter aus rostfreiem Stahl mit Tantal aus TaCl5 und Wasserstoffatomen scheidet sich das Tantal nur auf dem rostfreien Stahl und nicht auf dem Saphir ab. Die Ursache dafür ist wahrscheinlich, dass die H-Radikale leichter mit der Al2O3-Oberfläche als mit der adsorbierten TaCl5-Monolage reagieren.
  • Ein ähnliches Phänomen wurde in einem Artikel (P. Mårtensson und J.-O. Carlsson, J. Electrochem. Soc. 145, 2926 (1998)) bei der thermischen sequentiellen CVD-Abscheidung dünner Kupferfilme auf Platin, aber nicht auf Glas, beschrieben.
  • Diese Selektivität kann, falls unerwünscht, beseitigt werden, indem das Metalloxid über die gesamte Oberfläche des Wafers abgeschieden wird. Diese ursprüngliche oxidische Monolage kann anschließend mit Wasserstoffatomen zum reinen Metall reduziert werden (siehe vorstehendes Beispiel 9). Nachfolgende Lagen könnten durch die direkte Reduktion eines geeigneten Vorläufers abgeschieden werden (z.B. Tantal aus TaCl5 und H).
  • Die technischen Anwendungen der mit dem erfindungsgemäßen Verfahren abgeschiedenen Filme sollten sich nicht auf dieses Herstellungsverfahren der Filme beschränken. In manchen Fällen sind auch, in Abhängigkeit von der Anwendung, Filme geeignet, die mit den bekannten CVD-Verfahren hergestellt werden, ohne dass Radikale eingesetzt werden.
  • Obwohl die Erfindung insbesondere in Bezug auf spezifische Ausführungsverfahren veranschaulicht wurde, können Variationen und Modifikationen durchgeführt werden. Aus dem Vorstehenden ist ersichtlich, dass die vorliegende Erfindung den Stand der Technik bezüglich der Technologie der sequentiellen chemischen Dampfphasen-Abscheidung von dünnen Filmen erheblich verbessert, und es sind verschiedene technisch signifikante Anwendungen von mit diesem erfindungsgemäßen Verfahren hergestellten Filmen beschrieben. Das erfindungsgemäße Verfahren ist einzigartig, da es erstmals die Abscheidung perfekt gleichmäßiger und sehr reiner Filme jeglicher Zusammensetzung bei niedrigen Temperaturen erlaubt.

Claims (22)

  1. Verfahren zum Abscheiden eines dünnen Filmes durch ein sequentielles CVD-Verfahren (chemische Gasphasen-Abscheidung), welches eine Vielzahl von Zyklen beinhaltet, wobei ein Zyklus mindestens umfasst: Einbringen eines Teiles in eine Kammer; Behandeln des Teils mit einem ersten gasförmigen Reaktans, welches ein Element des zu bildenden dünnen Filmes beinhaltet, wobei mindestens ein Anteil des ersten Reaktans auf dem Teil adsorbiert wird, um eine Monolage zu bilden; Spülen der Kammer, um das erste gasförmige Reaktans zu entfernen; Überführen des auf dem Teil adsorbierten Anteils des ersten Reaktans in entweder ein Element oder eine Verbindung, indem das Teil einem zweiten gasförmigen Reaktans ausgesetzt wird, wobei das zweite gasförmige Reaktans Radikale beinhaltet, die durch Plasmaentladung in einem strömenden Trägergas gebildet wurden, wodurch ein dünner Film gebildet wird; und Spülen der Kammer, um den zweiten gasförmigen Reaktanden zu entfernen, indem die Plasmaentladung unterbrochen wird, während das Trägergas weiterhin strömt.
  2. Verfahren nach Anspruch 1, worin das Trägergas während des gesamten Verfahrens kontinuierlich strömt.
  3. Verfahren nach Anspruch 1, worin das erste Reaktans durch die Strömung des Trägergases aus der Kammer entfernt wird.
  4. Verfahren nach Anspruch 1, worin das Trägergas Sauerstoff enthält und die Radikale Sauerstoffradikale umfassen.
  5. Verfahren nach Anspruch 1, worin die Plasmaentladung in einem getrennten Plasmagenerator stromaufwärts der Kammer gebildet wird.
  6. Verfahren nach Anspruch 1, wobei die Abfolge des Behandelns, Spülens, Umwandelns und Spülens sooft wiederholt wird, bis die gewünschte Dicke für den Film erzielt ist.
  7. Verfahren nach Anspruch 1, worin der dünne Film Al2O3 umfasst und das Verfahren bei Raumtemperatur durchgeführt wird.
  8. Verfahren nach Anspruch 1, worin das Teil ein Halbleitersubstrat mit mindestens einer Öffnung darstellt, und der dünne Film die die Öffnung begrenzenden Wände beschichtet.
  9. Verfahren nach Anspruch 8, worin die Öffnung ein Damascene-Grabenmuster in einem Halbleiter-Metallisierungsverfahren darstellt.
  10. Verfahren nach Anspruch 9, worin der dünne Film Kupfer enthält.
  11. Verfahren nach Anspruch 8, worin des erste Reaktans ein metallhaltiges Trägergas umfasst und die Umwandlung die Reduktion des auf dem Teil absorbierten Anteils des ersten Reaktans zu Metall darstellt.
  12. Verfahren nach Anspruch 1, worin die Umwandlung erstens die Reduktion des auf dem Teil adsorbierten Anteils des ersten Reaktans zu einem primären Metalloxid umfasst, indem dieses Sauerstoffradikalen ausgesetzt wird, und zweitens die Reduktion des primären Metalloxids zu Metall.
  13. Verfahren nach Anspruch 12, worin für die zweite Reduktion das Metalloxid Wasserstoffradikalen ausgesetzt wird.
  14. Verfahren nach Anspruch 12, worin das Metall Kupfer enthält.
  15. Verfahren nach Anspruch 12, weiterhin umfassend zusätzliche Zyklen, wobei der absorbierte Anteil des ersten Reaktans direkt ohne ein dazwischengeschaltetes Metalloxid zu Metall reduziert wird.
  16. Verfahren nach Anspruch 15, worin das Teil metallische Oberflächen und nicht-metallische Oberflächen aufweist und wobei das primäre Metalloxid eine selektive Abscheidung auf dem Teil vermeidet.
  17. Verfahren nach Anspruch 12, weiterhin umfassend zusätzliche Zyklen, die dem Zyklus gemäß Anspruch 12 entsprechen.
  18. Verfahren nach Anspruch 8, worin die Umwandlung umfasst, dass das Teil mindestens zwei aufeinanderfolgenden Pulsen des zweiten Reaktans ausgesetzt wird, wobei die Reaktionsnebenprodukte zwischen den Pulsen aus der Öffnung ausströmen.
  19. Verfahren nach Anspruch 1, durchgeführt bei Raumtemperatur.
  20. Verfahren zum Abscheiden eines dünnen Filmes durch ein sequentielles CVD-Verfahren, welches in Abfolge umfasst: (a) Bilden eines ersten dünnen Filmes auf einem Teil durch das Verfahren nach Anspruch 1; zwei- oder mehrmaliges abwechselndes Wiederholen der Behandlung des Teils mit dem ersten gasförmigen Reaktans und der Behandlung des Teils mit dem zweiten gasförmigen Reaktans, um den ersten dünnen Film abzuscheiden, der aus mehreren Monolagen eines ersten Materials zusammengesetzt ist; (b) Bilden eines zweiten dünnen Filmes durch Behandeln des Teils mit einem dritten gasförmigen Reaktans, welches ein Element des zweiten zu bildenden dünnen Filmes beinhaltet, wobei mindestens ein Anteil des dritten Reaktans in einem selbstbegrenzenden Verfahren auf dem Teil adsorbiert wird, um höchstens eine Monolage zu bilden; Spülender Kammer, um das dritte gasförmige Reaktans zu entfernen; Überführen des auf dem Teil adsorbierten Anteils des dritten Reaktans in entweder ein Element oder eine Verbindung, indem das Teil einem vierten gasförmigen Reaktans ausgesetzt wird, welches durch Plasmaentladung gebildete Radikale beinhaltet; Spülen der Kammer, um das vierte gasförmige Reaktans zu entfernen; zwei- oder mehrmaliges abwechselndes Wiederholen der Behandlung des Teils mit dem dritten gasförmigen Reaktans und der Behandlung des Teils mit dem vierten gasförmigen Reaktans, um einen zweiten dünnen Film abzuscheiden, der aus mehreren Monolagen des zweiten Materials besteht; (c) abwechselndes Wiederholen des Abscheidens des ersten dünnen Filmes und des Abscheidens des zweiten dünnen Filmes direkt aufeinander, um einen Verbundfilm zu erzeugen, der aus alternierenden dünnen Lagen zweier verschiedener Materialien besteht, wobei jedes Material zwei oder mehrere Monolagen dick ist.
  21. Verfahren nach Anspruch 20, wobei der erste dünne Film Al2O3 umfasst und der zweite dünne Film Si3N4 umfasst.
  22. Verfahren nach Anspruch 20, wobei der erste dünne Film und der zweite dünne Film eine Dicke von jeweils etwa 10 Å (1 nm) aufweisen.
DE60012733T 1999-04-14 2000-04-14 Wiederholte cvd-ablagerung Expired - Lifetime DE60012733T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US291807 1999-04-14
US09/291,807 US6342277B1 (en) 1996-08-16 1999-04-14 Sequential chemical vapor deposition
PCT/US2000/010267 WO2000061833A1 (en) 1999-04-14 2000-04-14 Sequential chemical vapor deposition

Publications (2)

Publication Number Publication Date
DE60012733D1 DE60012733D1 (de) 2004-09-09
DE60012733T2 true DE60012733T2 (de) 2005-08-04

Family

ID=23121926

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60012733T Expired - Lifetime DE60012733T2 (de) 1999-04-14 2000-04-14 Wiederholte cvd-ablagerung

Country Status (6)

Country Link
US (7) US6342277B1 (de)
EP (2) EP1462542A1 (de)
JP (2) JP4804628B2 (de)
KR (5) KR20080025768A (de)
DE (1) DE60012733T2 (de)
WO (1) WO2000061833A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022108150B3 (de) 2022-04-05 2023-04-27 Technische Universität Ilmenau Verfahren und Reaktorkonfiguration zur Herstellung von Oxid- oder Oxinitridschichten

Families Citing this family (862)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
EP1266054B1 (de) 2000-03-07 2006-12-20 Asm International N.V. Gradierte dünne schichten
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
TW548239B (en) * 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
EP1340269B1 (de) 2000-11-30 2009-02-25 Asm International N.V. Dünnfilme für magnetische vorrichtungen
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
EP1388178A2 (de) 2001-05-14 2004-02-11 CDT Oxford Limited Verfahren zur bildung einer metal oder silizium oder germanium und sauerstoff enthaltenden schicht auf einer oberfläche
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
KR100414156B1 (ko) * 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
EP1421606A4 (de) * 2001-08-06 2008-03-05 Genitech Co Ltd Geräte für die plasmaverstärkte atomische schichtablagerung (peald) und verfahren zur bildung eines dünnen leitfähigen films damit dafür
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030050000A1 (en) * 2001-09-03 2003-03-13 Noritake Co., Limited Super-abrasive grinding wheel
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US7157177B2 (en) * 2002-01-03 2007-01-02 Neah Power Systems, Inc. Porous fuel cell electrode structures having conformal electrically conductive layers thereon
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US7162302B2 (en) * 2002-03-04 2007-01-09 Nanoset Llc Magnetically shielded assembly
US7091412B2 (en) * 2002-03-04 2006-08-15 Nanoset, Llc Magnetically shielded assembly
US20040210289A1 (en) * 2002-03-04 2004-10-21 Xingwu Wang Novel nanomagnetic particles
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
JP4168676B2 (ja) * 2002-02-15 2008-10-22 コニカミノルタホールディングス株式会社 製膜方法
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
WO2003083167A1 (en) * 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR100479639B1 (ko) * 2002-04-06 2005-03-30 재단법인서울대학교산학협력재단 다층 박막의 제조를 위한 화학 기상 증착 장치 및 이를 이용한 다층 박막 증착 방법
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR101040446B1 (ko) * 2002-04-19 2011-06-09 맷슨 테크놀로지, 인크. 저증기압 가스 전구체를 이용하여 기판 상에 막을증착하기 위한 시스템
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6979489B2 (en) * 2002-05-15 2005-12-27 Rutgers, The State University Of New Jersey Zinc oxide nanotip and fabricating method thereof
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
TWI278532B (en) * 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100464855B1 (ko) * 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6927140B2 (en) 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20060093848A1 (en) * 2002-10-15 2006-05-04 Senkevich John J Atomic layer deposition of noble metals
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
EP1420080A3 (de) * 2002-11-14 2005-11-09 Applied Materials, Inc. Vorrichtung und Verfahren zu hybriden chemischen Abscheidungsverfahren
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
DE10303413B3 (de) * 2003-01-29 2004-08-05 Infineon Technologies Ag Verfahren zur Herstellung eines Oxidkragens für einen Grabenkondensator
US6863725B2 (en) * 2003-02-04 2005-03-08 Micron Technology, Inc. Method of forming a Ta2O5 comprising layer
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040178175A1 (en) * 2003-03-12 2004-09-16 Pellin Michael J. Atomic layer deposition for high temperature superconductor material synthesis
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20060102871A1 (en) * 2003-04-08 2006-05-18 Xingwu Wang Novel composition
US20050149169A1 (en) * 2003-04-08 2005-07-07 Xingwu Wang Implantable medical device
US20070010702A1 (en) * 2003-04-08 2007-01-11 Xingwu Wang Medical device with low magnetic susceptibility
US20050244337A1 (en) * 2003-04-08 2005-11-03 Xingwu Wang Medical device with a marker
US20050119725A1 (en) * 2003-04-08 2005-06-02 Xingwu Wang Energetically controlled delivery of biologically active material from an implanted medical device
US20050240100A1 (en) * 2003-04-08 2005-10-27 Xingwu Wang MRI imageable medical device
US20050278020A1 (en) * 2003-04-08 2005-12-15 Xingwu Wang Medical device
US20050155779A1 (en) * 2003-04-08 2005-07-21 Xingwu Wang Coated substrate assembly
US20040254419A1 (en) * 2003-04-08 2004-12-16 Xingwu Wang Therapeutic assembly
US20050261763A1 (en) * 2003-04-08 2005-11-24 Xingwu Wang Medical device
US20050079132A1 (en) * 2003-04-08 2005-04-14 Xingwu Wang Medical device with low magnetic susceptibility
US20050149002A1 (en) * 2003-04-08 2005-07-07 Xingwu Wang Markers for visualizing interventional medical devices
US20050025797A1 (en) * 2003-04-08 2005-02-03 Xingwu Wang Medical device with low magnetic susceptibility
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
EP1616043B1 (de) * 2003-04-23 2020-09-23 Eugenus Inc. Transient-verbesserte atomlagenabscheidung
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7399357B2 (en) * 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
WO2005003406A2 (en) * 2003-06-27 2005-01-13 Sundew Technologies, Llc Apparatus and method for chemical source vapor pressure control
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
CN1780935B (zh) * 2003-07-16 2010-05-05 柯尼卡美能达控股株式会社 薄膜制造方法以及具有由此薄膜制造方法形成的薄膜的基材
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7230292B2 (en) 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
CN1777977B (zh) * 2003-08-11 2010-07-07 东京毅力科创株式会社 成膜方法
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US8361548B2 (en) * 2003-09-05 2013-01-29 Yield Engineering Systems, Inc. Method for efficient coating of substrates including plasma cleaning and dehydration
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20070027532A1 (en) * 2003-12-22 2007-02-01 Xingwu Wang Medical device
EP1713722A1 (de) * 2003-12-24 2006-10-25 Nanometrix Inc. Kontinuierliche herstellung von kohlenstoffnanoröhren
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050181535A1 (en) * 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US20060062910A1 (en) * 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214458A1 (en) * 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
TWI383063B (zh) * 2004-03-01 2013-01-21 Praxair Technology Inc 低鋯之鹵化鉿組成物
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050208239A1 (en) * 2004-03-18 2005-09-22 3M Innovative Properties Company Flexible article comprising pocket
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7879396B2 (en) * 2004-06-04 2011-02-01 Applied Microstructures, Inc. High aspect ratio performance coatings for biological microfluidics
WO2005121397A2 (en) * 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7695775B2 (en) * 2004-06-04 2010-04-13 Applied Microstructures, Inc. Controlled vapor deposition of biocompatible coatings over surface-treated substrates
DE602005016933D1 (de) * 2004-06-28 2009-11-12 Cambridge Nanotech Inc Atomlagenabscheidungssystem und -verfahren
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US20060118758A1 (en) * 2004-09-15 2006-06-08 Xingwu Wang Material to enable magnetic resonance imaging of implantable medical devices
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7106096B2 (en) * 2004-11-11 2006-09-12 International Business Machines Corporation Circuit and method of controlling integrated circuit power consumption using phase change switches
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
TW200633947A (en) * 2005-02-16 2006-10-01 Ngk Insulators Ltd Joined body and manufacturing method for the same
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
WO2006101130A1 (ja) * 2005-03-23 2006-09-28 Tokyo Electron Limited 成膜装置及び成膜方法
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
CN100595974C (zh) * 2005-03-30 2010-03-24 松下电器产业株式会社 传输线
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR20060124879A (ko) * 2005-05-26 2006-12-06 주성엔지니어링(주) 박막 증착 방법
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
JP5040119B2 (ja) * 2006-02-22 2012-10-03 東京エレクトロン株式会社 耐環境部材、半導体製造装置及び耐環境部材の製造方法
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
JP4911345B2 (ja) * 2005-07-25 2012-04-04 セイコーエプソン株式会社 パターニング方法、並びにこれを用いた電子装置の製造方法
US20080317974A1 (en) * 2005-08-26 2008-12-25 Fujifilm Manufacturing Europe B.V. Method and Arrangement for Generating and Controlling a Discharge Plasma
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070128862A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
US8357434B1 (en) * 2005-12-13 2013-01-22 Lam Research Corporation Apparatus for the deposition of a conformal film on a substrate and methods therefor
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP2009530083A (ja) 2006-03-14 2009-08-27 プラクスエア・テクノロジー・インコーポレイテッド 選択的分離プロセス
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
KR100708881B1 (ko) * 2006-04-06 2007-04-18 서울시립대학교 산학협력단 실리콘 나노점 어레이 제조장치 및 그 제조방법과 이를이용한 다중 레벨 실리콘 비휘발성 메모리 제조방법
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
EP2024533A1 (de) * 2006-05-30 2009-02-18 Fuji Film Manufacturing Europe B.V. Verfahren und vorrichtung zur abscheidung unter verwendung einer gepulsten atmosphärendruckglimmentladung
EP2032738A1 (de) * 2006-06-16 2009-03-11 Fuji Film Manufacturing Europe B.V. Verrfahren und vorrichtung zur atomlagenabscheidung unter verwendung eines atmosphärendruckglimmentladungsplasmas
US8318966B2 (en) 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
TWI395335B (zh) * 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成
US7956168B2 (en) * 2006-07-06 2011-06-07 Praxair Technology, Inc. Organometallic compounds having sterically hindered amides
KR100791334B1 (ko) * 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
DE112007001814T5 (de) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7959986B2 (en) * 2006-08-09 2011-06-14 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7956207B2 (en) * 2006-09-28 2011-06-07 Praxair Technology, Inc. Heteroleptic organometallic compounds
JP2010506408A (ja) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
WO2008045423A1 (en) * 2006-10-10 2008-04-17 Structured Materials Inc. Self assembled controlled luminescent transparent conductive photonic crystals for light emitting devices
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
FR2909799A1 (fr) * 2006-12-12 2008-06-13 Commissariat Energie Atomique Procede et fabrication d'elements de combustible nucleaire et contenant pour la mise en oeuvre d'un tel procede
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
WO2008100139A1 (en) * 2007-02-13 2008-08-21 Fujifilm Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20080248648A1 (en) * 2007-04-06 2008-10-09 Thompson David M Deposition precursors for semiconductor applications
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
JP5364293B2 (ja) * 2007-06-01 2013-12-11 株式会社半導体エネルギー研究所 表示装置の作製方法およびプラズマcvd装置
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101168182B1 (ko) 2007-08-27 2012-07-24 보레알리스 테크놀로지 오와이. 중합체 펠릿의 제조 장비 및 프로세스
US20100255625A1 (en) * 2007-09-07 2010-10-07 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US8080280B1 (en) 2007-10-16 2011-12-20 Sandia Corporation Nanostructure templating using low temperature atomic layer deposition
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090203928A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090205538A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090209777A1 (en) * 2008-01-24 2009-08-20 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20090203917A1 (en) * 2008-01-24 2009-08-13 Thompson David M Organometallic compounds, processes for the preparation thereof and methods of use thereof
EP2235735B1 (de) * 2008-02-01 2015-09-30 Fujifilm Manufacturing Europe B.V. Verfahren und vorrichtung zur plasmaflächenbehandlung eines beweglichen substrats
US8445897B2 (en) * 2008-02-08 2013-05-21 Fujifilm Manufacturing Europe B.V. Method for manufacturing a multi-layer stack structure with improved WVTR barrier property
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
FR2928662B1 (fr) * 2008-03-11 2011-08-26 Arkema France Procede et systeme de depot d'un metal ou metalloide sur des nanotubes de carbone
JP2009231574A (ja) * 2008-03-24 2009-10-08 Sanken Electric Co Ltd SiC半導体素子とその製造方法並びにその製造装置
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090258143A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20090255466A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
KR20110088564A (ko) * 2008-11-11 2011-08-03 프랙스에어 테크놀로지, 인코포레이티드 반응물 분배 장치 및 전달 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR20110100618A (ko) * 2008-12-05 2011-09-14 로터스 어플라이드 테크놀로지, 엘엘씨 향상된 장벽 층 특성을 갖는 얇은 막의 고속 증착
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
GB2467928A (en) * 2009-02-19 2010-08-25 Amit Kumar Roy Inorganic Fibre Coating by Atomic Layer Deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8026157B2 (en) * 2009-09-02 2011-09-27 Applied Materials, Inc. Gas mixing method realized by back diffusion in a PECVD system with showerhead
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US8241991B2 (en) * 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9040127B2 (en) * 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
JP2012186375A (ja) * 2011-03-07 2012-09-27 Tokyo Electron Ltd プラズマ処理方法、膜形成方法、半導体デバイスの製造方法及びプラズマ処理装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130022658A1 (en) * 2011-07-23 2013-01-24 Synos Technology, Inc. Depositing material with antimicrobial properties on permeable substrate using atomic layer deposition
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101881894B1 (ko) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
US10279365B2 (en) 2012-04-27 2019-05-07 Progressive Surface, Inc. Thermal spray method integrating selected removal of particulates
US20130284203A1 (en) * 2012-04-27 2013-10-31 Progressive Surface, Inc. Plasma spray apparatus integrating water cleaning
KR20140008751A (ko) * 2012-07-11 2014-01-22 김원구 알루미늄-실리콘 화합물의 증착방법 및 그 증착장치
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140183051A1 (en) * 2013-01-02 2014-07-03 International Business Machines Corporation Deposition of pure metals in 3d structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
WO2014204078A1 (ko) * 2013-06-17 2014-12-24 주식회사 우리정도 관형 필터트랩을 갖는 고속 증착용 상온 화학증착장치 및 그 원격제어시스템과 그 증착방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10214817B2 (en) 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109984A (ko) * 2014-03-21 2015-10-02 삼성전자주식회사 기체 차단 필름, 이를 포함하는 냉장고 및 기체 차단 필름의 제조방법
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9190266B1 (en) 2014-08-27 2015-11-17 The Regents Of The University Of California High capacitance density gate dielectrics for III-V semiconductor channels using a pre-disposition surface treatment involving plasma and TI precursor exposure
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9646876B2 (en) * 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
KR20200007823A (ko) 2017-05-16 2020-01-22 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7165529B2 (ja) * 2018-07-27 2022-11-04 大陽日酸株式会社 フランジ締結構造及びこれを用いた気相成長装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023075832A (ja) * 2021-11-19 2023-05-31 東京エレクトロン株式会社 窒化膜の成膜方法及びプラズマ処理装置

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US612275A (en) * 1898-10-11 Brick-machine
US499357A (en) * 1893-06-13 Elevator-gate-operating device
US526779A (en) * 1894-10-02 gould
US3422321A (en) * 1966-06-20 1969-01-14 Sperry Rand Corp Oxygenated silicon nitride semiconductor devices and silane method for making same
US3660179A (en) 1970-08-17 1972-05-02 Westinghouse Electric Corp Gaseous diffusion technique
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4985313A (en) * 1985-01-14 1991-01-15 Raychem Limited Wire and cable
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US4604304A (en) * 1985-07-03 1986-08-05 Rca Corporation Process of producing thick layers of silicon dioxide
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US4675089A (en) * 1985-11-25 1987-06-23 At&T Technologies, Inc. Low temperature deposition method for high quality aluminum oxide films
JPS62179717A (ja) * 1986-02-03 1987-08-06 Nippon Telegr & Teleph Corp <Ntt> 薄膜の形成方法
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0812844B2 (ja) 1987-03-27 1996-02-07 日本電気株式会社 ▲iii▼−v族化合物半導体およびその形成方法
JPH0666274B2 (ja) 1987-07-01 1994-08-24 日本電気株式会社 ▲iii▼−v族化合物半導体の形成方法
FI81926C (fi) 1987-09-29 1990-12-10 Nokia Oy Ab Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater.
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
JP2789587B2 (ja) * 1988-01-08 1998-08-20 日本電気株式会社 絶縁薄膜の製造方法
US4900591A (en) * 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
JPH01204434A (ja) * 1988-02-09 1989-08-17 Nec Corp 絶縁薄膜の製造方法
US5060595A (en) 1988-04-12 1991-10-29 Ziv Alan R Via filling by selective laser chemical vapor deposition
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US4882008A (en) * 1988-07-08 1989-11-21 Texas Instruments Incorporated Dry development of photoresist
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2726118B2 (ja) * 1989-09-26 1998-03-11 キヤノン株式会社 堆積膜形成法
JPH03119721A (ja) * 1989-09-30 1991-05-22 Toshiba Corp 結晶成長方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5146465A (en) 1991-02-01 1992-09-08 Apa Optics, Inc. Aluminum gallium nitride laser
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5567956A (en) * 1991-03-27 1996-10-22 Canon Kabushiki Kaisha Information processing apparatus including a photoelectric conversion element having a semiconductor layer with a varying energy band gap width
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
JP2828152B2 (ja) 1991-08-13 1998-11-25 富士通 株式会社 薄膜形成方法、多層構造膜及びシリコン薄膜トランジスタの形成方法
US6064077A (en) * 1991-08-30 2000-05-16 Stmicroelectronics, Inc. Integrated circuit transistor
JPH0562913A (ja) * 1991-09-04 1993-03-12 Canon Inc 堆積膜の成膜方法
JPH0574713A (ja) * 1991-09-17 1993-03-26 Nippondenso Co Ltd 非晶質半導体薄膜の製造方法
US5291066A (en) 1991-11-14 1994-03-01 General Electric Company Moisture-proof electrical circuit high density interconnect module and method for making same
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
JPH05299412A (ja) 1992-04-23 1993-11-12 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造法
RU94046132A (ru) 1992-05-22 1996-09-27 Миннесота Майнинг энд Мануфакчуринг Компани (US) Способ управления системой молекулярной пучковой эпитаксии /mbe/ во время изготовления электролюминисцентного прибора на полупроводниковом ii-yi соединении и ii-yi лазерные диоды
MX9303141A (es) * 1992-05-28 1994-04-29 Polar Materials Inc Metodos y aparatos para depositar recubrimientos de barrera.
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5459108A (en) 1992-10-06 1995-10-17 Sharp Kabushiki Kaisha Normal pressure CVD process for manufacture of a semiconductor device through reaction of a nitrogen containing organic source with ozone
JP3080809B2 (ja) 1993-04-30 2000-08-28 シャープ株式会社 半導体装置の製造方法
JP3137767B2 (ja) * 1992-10-20 2001-02-26 富士通株式会社 半導体装置の製造方法
JPH06272047A (ja) * 1993-03-16 1994-09-27 Mitsubishi Cable Ind Ltd 被覆粉体の製造方法及びその装置
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH06333853A (ja) * 1993-05-19 1994-12-02 Nissin Electric Co Ltd 薄膜形成方法
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5443847A (en) * 1993-07-15 1995-08-22 West; Philip W. Specific detoxification of urushiol with manganese salts
FI92897C (fi) * 1993-07-20 1995-01-10 Planar International Oy Ltd Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten
JPH0758100A (ja) 1993-08-10 1995-03-03 Kawasaki Steel Corp 半導体装置の製造方法
JP3618110B2 (ja) 1993-08-30 2005-02-09 株式会社デンソー エレクトロルミネッセンス素子の製法
JP3257180B2 (ja) * 1993-09-21 2002-02-18 ソニー株式会社 成膜方法
JPH07252657A (ja) * 1994-03-16 1995-10-03 Mitsubishi Heavy Ind Ltd 成膜方法
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5531183A (en) * 1994-07-13 1996-07-02 Applied Materials, Inc. Vaporization sequence for multiple liquid precursors used in semiconductor thin film applications
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JP3428767B2 (ja) * 1995-03-10 2003-07-22 キヤノン株式会社 多結晶Si薄膜の堆積法
US20010028922A1 (en) * 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JPH0978244A (ja) * 1995-09-07 1997-03-25 Canon Inc プラズマcvd方法
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling
JPH09260373A (ja) * 1996-03-26 1997-10-03 Nippon Steel Corp 半導体装置の製造方法
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6133977A (en) 1997-10-21 2000-10-17 Samsung Electronics Co., Ltd. Liquid crystal displays having common electrode overlap with one or more data lines
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
JP4149051B2 (ja) * 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
JP2000228400A (ja) * 1999-02-08 2000-08-15 Telecommunication Advancement Organization Of Japan 半導体素子製造方法および処理装置
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP4684461B2 (ja) 2000-04-28 2011-05-18 パナソニック株式会社 磁性素子の製造方法
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
EP1205574A2 (de) * 2000-11-13 2002-05-15 Applied Materials, Inc. Abscheidung von Atomschichten aus Ta2O5 und aus Dielektrika mit hohem K-Wert
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022108150B3 (de) 2022-04-05 2023-04-27 Technische Universität Ilmenau Verfahren und Reaktorkonfiguration zur Herstellung von Oxid- oder Oxinitridschichten

Also Published As

Publication number Publication date
EP1183406A1 (de) 2002-03-06
KR20080025768A (ko) 2008-03-21
US8323737B2 (en) 2012-12-04
US7682657B2 (en) 2010-03-23
US20020031618A1 (en) 2002-03-14
EP1462542A1 (de) 2004-09-29
DE60012733D1 (de) 2004-09-09
US20040083949A1 (en) 2004-05-06
WO2000061833A1 (en) 2000-10-19
KR20070086779A (ko) 2007-08-27
JP4804628B2 (ja) 2011-11-02
US6616986B2 (en) 2003-09-09
KR20070110451A (ko) 2007-11-16
US20010028924A1 (en) 2001-10-11
KR100771257B1 (ko) 2007-10-29
KR20090043593A (ko) 2009-05-06
US20040076751A1 (en) 2004-04-22
KR20010110746A (ko) 2001-12-13
US7410671B2 (en) 2008-08-12
KR100966088B1 (ko) 2010-07-01
US20080280039A1 (en) 2008-11-13
US6342277B1 (en) 2002-01-29
JP5554742B2 (ja) 2014-07-23
EP1183406B1 (de) 2004-08-04
US6652924B2 (en) 2003-11-25
KR100853008B1 (ko) 2008-08-19
KR100818792B1 (ko) 2008-04-02
JP2002541332A (ja) 2002-12-03
JP2011184799A (ja) 2011-09-22
US20080066680A1 (en) 2008-03-20

Similar Documents

Publication Publication Date Title
DE60012733T2 (de) Wiederholte cvd-ablagerung
DE60027401T2 (de) Radikal-unterstützte sequentielle gasphasenabscheidung
US5916365A (en) Sequential chemical vapor deposition
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE3709066C2 (de)
CN1191614C (zh) 在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理
DE60004527T2 (de) Plasmabehandlung von durch thermische cvd aus tantalhalogenid-vorläufern erhaltenen tan schichten
US20020162506A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
TW201035356A (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE10064041A1 (de) Verfahren zur Herstellung einer Kupferverdrahtung in einem Halbleiterbauelement
DE4306659A1 (de) Verfahren zur mikrowellenunterstützten chemischen Abscheidung von Metall- und Metalloidschichten aus der Gasphase bei der Herstellung integrierter Halbleiterschaltungen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: ASM INTERNATIONAL N.V., BILTHOVEN, NL

8328 Change in the person/name/address of the agent

Representative=s name: WESTENDORP SOMMER, 80336 MUENCHEN