US6784033B1
(en)
|
1984-02-15 |
2004-08-31 |
Semiconductor Energy Laboratory Co., Ltd. |
Method for the manufacture of an insulated gate field effect semiconductor device
|
US6786997B1
(en)
|
1984-11-26 |
2004-09-07 |
Semiconductor Energy Laboratory Co., Ltd. |
Plasma processing apparatus
|
JPH0752718B2
(ja)
*
|
1984-11-26 |
1995-06-05 |
株式会社半導体エネルギー研究所 |
薄膜形成方法
|
US6113701A
(en)
*
|
1985-02-14 |
2000-09-05 |
Semiconductor Energy Laboratory Co., Ltd. |
Semiconductor device, manufacturing method, and system
|
US6230650B1
(en)
|
1985-10-14 |
2001-05-15 |
Semiconductor Energy Laboratory Co., Ltd. |
Microwave enhanced CVD system under magnetic field
|
US6673722B1
(en)
|
1985-10-14 |
2004-01-06 |
Semiconductor Energy Laboratory Co., Ltd. |
Microwave enhanced CVD system under magnetic field
|
US5427824A
(en)
*
|
1986-09-09 |
1995-06-27 |
Semiconductor Energy Laboratory Co., Ltd. |
CVD apparatus
|
KR910003742B1
(ko)
*
|
1986-09-09 |
1991-06-10 |
세미콘덕터 에너지 라보라터리 캄파니 리미티드 |
Cvd장치
|
US5755886A
(en)
*
|
1986-12-19 |
1998-05-26 |
Applied Materials, Inc. |
Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
|
US4892753A
(en)
*
|
1986-12-19 |
1990-01-09 |
Applied Materials, Inc. |
Process for PECVD of silicon oxide using TEOS decomposition
|
US5158644A
(en)
*
|
1986-12-19 |
1992-10-27 |
Applied Materials, Inc. |
Reactor chamber self-cleaning process
|
US5221556A
(en)
*
|
1987-06-24 |
1993-06-22 |
Epsilon Technology, Inc. |
Gas injectors for reaction chambers in CVD systems
|
DE3854875T2
(de)
*
|
1987-06-26 |
1996-05-23 |
Applied Materials Inc |
Verfahren zur Selbstreinigung einer Reaktionskammer
|
ZA884511B
(en)
*
|
1987-07-15 |
1989-03-29 |
Boc Group Inc |
Method of plasma enhanced silicon oxide deposition
|
JPH077759B2
(ja)
*
|
1987-08-20 |
1995-01-30 |
株式会社半導体エネルギ−研究所 |
絶縁膜形成方法
|
US5643838A
(en)
*
|
1988-03-31 |
1997-07-01 |
Lucent Technologies Inc. |
Low temperature deposition of silicon oxides for device fabrication
|
US5354695A
(en)
*
|
1992-04-08 |
1994-10-11 |
Leedy Glenn J |
Membrane dielectric isolation IC fabrication
|
US4962063A
(en)
*
|
1988-11-10 |
1990-10-09 |
Applied Materials, Inc. |
Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
|
JP2859288B2
(ja)
*
|
1989-03-20 |
1999-02-17 |
株式会社日立製作所 |
半導体集積回路装置及びその製造方法
|
DE3915650A1
(de)
*
|
1989-05-12 |
1990-11-15 |
Siemens Ag |
Verfahren zur strukturierung einer auf einem halbleiterschichtaufbau angeordneten schicht
|
KR0170391B1
(ko)
*
|
1989-06-16 |
1999-03-30 |
다카시마 히로시 |
피처리체 처리장치 및 처리방법
|
EP0412644A3
(de)
*
|
1989-08-08 |
1991-03-20 |
Applied Materials, Inc. |
Niedertemperatur-Niederdruck-CVD-Herstellungsverfahren mit Gruppe III und/oder Gruppe V dotierter, konformer Silikatglasschicht mit konstanter Dicke auf einer integrierten Struktur
|
JP2506451B2
(ja)
*
|
1989-08-18 |
1996-06-12 |
富士通株式会社 |
化学気相成長装置及び化学気相成長法
|
US5314845A
(en)
*
|
1989-09-28 |
1994-05-24 |
Applied Materials, Inc. |
Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
|
EP0421203B1
(de)
*
|
1989-09-28 |
1996-01-03 |
Applied Materials, Inc. |
Integrierte Schaltungsstruktur mit einer zusammengesetzten Borphosphorsilikatglasschicht auf einer Halbleiterscheibe und verbesserte Herstellungsmethode dafür
|
US5166101A
(en)
*
|
1989-09-28 |
1992-11-24 |
Applied Materials, Inc. |
Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
|
US5068124A
(en)
*
|
1989-11-17 |
1991-11-26 |
International Business Machines Corporation |
Method for depositing high quality silicon dioxide by pecvd
|
JPH0784662B2
(ja)
*
|
1989-12-12 |
1995-09-13 |
アプライドマテリアルズジャパン株式会社 |
化学的気相成長方法とその装置
|
JPH0680657B2
(ja)
*
|
1989-12-27 |
1994-10-12 |
株式会社半導体プロセス研究所 |
半導体装置の製造方法
|
US5155336A
(en)
*
|
1990-01-19 |
1992-10-13 |
Applied Materials, Inc. |
Rapid thermal heating apparatus and method
|
US6016383A
(en)
*
|
1990-01-19 |
2000-01-18 |
Applied Materials, Inc. |
Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
|
US5252366A
(en)
*
|
1990-01-24 |
1993-10-12 |
The United States Of America As Represented By The Secretary Of The Air Force |
Chemical vapor deposition method using an actively cooled effuser to coat a substrate having a heated surface layer
|
US5129360A
(en)
*
|
1990-01-24 |
1992-07-14 |
The United States Of America As Represented By The Secretary Of The Air Force |
Actively cooled effusion cell for chemical vapor deposition
|
US5132774A
(en)
*
|
1990-02-05 |
1992-07-21 |
Mitsubishi Denki Kabushiki Kaisha |
Semiconductor device including interlayer insulating film
|
US5250468A
(en)
*
|
1990-02-05 |
1993-10-05 |
Mitsubishi Denki Kabushiki Kaisha |
Method of manufacturing semiconductor device including interlaying insulating film
|
US5236511A
(en)
*
|
1990-03-16 |
1993-08-17 |
Schott Glaswerke |
Plasma CVD process for coating a dome-shaped substrate
|
US5447570A
(en)
*
|
1990-04-23 |
1995-09-05 |
Genus, Inc. |
Purge gas in wafer coating area selection
|
US5094885A
(en)
*
|
1990-10-12 |
1992-03-10 |
Genus, Inc. |
Differential pressure cvd chuck
|
CA2016970A1
(en)
*
|
1990-05-16 |
1991-11-16 |
Prasad N. Gadgil |
Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
|
US5451435A
(en)
*
|
1990-06-18 |
1995-09-19 |
At&T Corp. |
Method for forming dielectric
|
US5212116A
(en)
*
|
1990-06-18 |
1993-05-18 |
At&T Bell Laboratories |
Method for forming planarized films by preferential etching of the center of a wafer
|
US5843233A
(en)
*
|
1990-07-16 |
1998-12-01 |
Novellus Systems, Inc. |
Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
|
US5620525A
(en)
*
|
1990-07-16 |
1997-04-15 |
Novellus Systems, Inc. |
Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
|
US5230741A
(en)
*
|
1990-07-16 |
1993-07-27 |
Novellus Systems, Inc. |
Gas-based backside protection during substrate processing
|
US5578532A
(en)
*
|
1990-07-16 |
1996-11-26 |
Novellus Systems, Inc. |
Wafer surface protection in a gas deposition process
|
US5085887A
(en)
*
|
1990-09-07 |
1992-02-04 |
Applied Materials, Inc. |
Wafer reactor vessel window with pressure-thermal compensation
|
JPH04348031A
(ja)
*
|
1990-12-28 |
1992-12-03 |
Mitsubishi Electric Corp |
化学気相成長装置
|
US5288325A
(en)
*
|
1991-03-29 |
1994-02-22 |
Nec Corporation |
Chemical vapor deposition apparatus
|
US5474650A
(en)
*
|
1991-04-04 |
1995-12-12 |
Hitachi, Ltd. |
Method and apparatus for dry etching
|
DE69230322T2
(de)
*
|
1991-04-04 |
2000-07-06 |
Hitachi Ltd |
Verfahren und Vorrichtung zur Plasmabehandlung
|
JP3323530B2
(ja)
*
|
1991-04-04 |
2002-09-09 |
株式会社日立製作所 |
半導体装置の製造方法
|
US6008133A
(en)
*
|
1991-04-04 |
1999-12-28 |
Hitachi, Ltd. |
Method and apparatus for dry etching
|
US6077384A
(en)
|
1994-08-11 |
2000-06-20 |
Applied Materials, Inc. |
Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
|
US6024826A
(en)
*
|
1996-05-13 |
2000-02-15 |
Applied Materials, Inc. |
Plasma reactor with heated source of a polymer-hardening precursor material
|
US6488807B1
(en)
|
1991-06-27 |
2002-12-03 |
Applied Materials, Inc. |
Magnetic confinement in a plasma reactor having an RF bias electrode
|
US6514376B1
(en)
|
1991-06-27 |
2003-02-04 |
Applied Materials Inc. |
Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
|
US5477975A
(en)
*
|
1993-10-15 |
1995-12-26 |
Applied Materials Inc |
Plasma etch apparatus with heated scavenging surfaces
|
US6090303A
(en)
*
|
1991-06-27 |
2000-07-18 |
Applied Materials, Inc. |
Process for etching oxides in an electromagnetically coupled planar plasma apparatus
|
US6238588B1
(en)
|
1991-06-27 |
2001-05-29 |
Applied Materials, Inc. |
High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
|
US6165311A
(en)
|
1991-06-27 |
2000-12-26 |
Applied Materials, Inc. |
Inductively coupled RF plasma reactor having an overhead solenoidal antenna
|
US6063233A
(en)
*
|
1991-06-27 |
2000-05-16 |
Applied Materials, Inc. |
Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
|
US6074512A
(en)
|
1991-06-27 |
2000-06-13 |
Applied Materials, Inc. |
Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
|
US6036877A
(en)
|
1991-06-27 |
2000-03-14 |
Applied Materials, Inc. |
Plasma reactor with heated source of a polymer-hardening precursor material
|
JPH0574763A
(ja)
*
|
1991-07-19 |
1993-03-26 |
G T C:Kk |
ゲート絶縁膜の形成方法
|
EP0537854B1
(de)
*
|
1991-10-18 |
1997-09-10 |
Koninklijke Philips Electronics N.V. |
Verfahren zum Herstellen einer Halbeiteranordnung, wobei auf der Oberfläche einer Halbleiterscheibe aus einem Prozessgas eine Materialschicht abgeschieden wird
|
JP2763222B2
(ja)
*
|
1991-12-13 |
1998-06-11 |
三菱電機株式会社 |
化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
|
GB2264957B
(en)
*
|
1992-03-12 |
1995-09-20 |
Bell Communications Res |
Deflected flow in a chemical vapor deposition cell
|
US5368685A
(en)
*
|
1992-03-24 |
1994-11-29 |
Hitachi, Ltd. |
Dry etching apparatus and method
|
US6714625B1
(en)
*
|
1992-04-08 |
2004-03-30 |
Elm Technology Corporation |
Lithography device for semiconductor circuit pattern generation
|
US5534072A
(en)
*
|
1992-06-24 |
1996-07-09 |
Anelva Corporation |
Integrated module multi-chamber CVD processing system and its method for processing subtrates
|
DE4220827A1
(de)
*
|
1992-06-25 |
1994-01-13 |
Pokorny Gmbh |
Anlage zur Behandlung von Objekten unter Reinluftraum-Bedingungen
|
CN100483651C
(zh)
|
1992-08-27 |
2009-04-29 |
株式会社半导体能源研究所 |
半导体器件的制造方法
|
US5387289A
(en)
*
|
1992-09-22 |
1995-02-07 |
Genus, Inc. |
Film uniformity by selective pressure gradient control
|
EP0595159B1
(de)
*
|
1992-10-26 |
1997-12-29 |
Schott Glaswerke |
Verfahren und Vorrichtung zur Beschichtung der Innenfläche stark gewölbter im wesentlichen kalottenförmiger Substrate mittels CVD
|
JP3362432B2
(ja)
*
|
1992-10-31 |
2003-01-07 |
ソニー株式会社 |
プラズマ処理方法及びプラズマ処理装置
|
EP0602595B1
(de)
|
1992-12-15 |
1997-07-23 |
Applied Materials, Inc. |
Verdampfung von flüssigen Reaktionspartnern für CVD
|
US5360769A
(en)
*
|
1992-12-17 |
1994-11-01 |
Micron Semiconductor, Inc. |
Method for fabricating hybrid oxides for thinner gate devices
|
US5580388A
(en)
*
|
1993-01-21 |
1996-12-03 |
Moore Epitaxial, Inc. |
Multi-layer susceptor for rapid thermal process reactors
|
US5366585A
(en)
*
|
1993-01-28 |
1994-11-22 |
Applied Materials, Inc. |
Method and apparatus for protection of conductive surfaces in a plasma processing reactor
|
EP0608633B1
(de)
*
|
1993-01-28 |
1999-03-03 |
Applied Materials, Inc. |
Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
|
JP2662365B2
(ja)
*
|
1993-01-28 |
1997-10-08 |
アプライド マテリアルズ インコーポレイテッド |
改良された排出システムを有する単一基板式の真空処理装置
|
US5421893A
(en)
*
|
1993-02-26 |
1995-06-06 |
Applied Materials, Inc. |
Susceptor drive and wafer displacement mechanism
|
US5487785A
(en)
*
|
1993-03-26 |
1996-01-30 |
Tokyo Electron Kabushiki Kaisha |
Plasma treatment apparatus
|
US5695568A
(en)
*
|
1993-04-05 |
1997-12-09 |
Applied Materials, Inc. |
Chemical vapor deposition chamber
|
CH687987A5
(de)
*
|
1993-05-03 |
1997-04-15 |
Balzers Hochvakuum |
Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
|
US6835523B1
(en)
|
1993-05-09 |
2004-12-28 |
Semiconductor Energy Laboratory Co., Ltd. |
Apparatus for fabricating coating and method of fabricating the coating
|
US5525160A
(en)
*
|
1993-05-10 |
1996-06-11 |
Tokyo Electron Kabushiki Kaisha |
Film deposition processing device having transparent support and transfer pins
|
US5332443A
(en)
*
|
1993-06-09 |
1994-07-26 |
Applied Materials, Inc. |
Lift fingers for substrate processing apparatus
|
JPH0799162A
(ja)
*
|
1993-06-21 |
1995-04-11 |
Hitachi Ltd |
Cvdリアクタ装置
|
US5413670A
(en)
*
|
1993-07-08 |
1995-05-09 |
Air Products And Chemicals, Inc. |
Method for plasma etching or cleaning with diluted NF3
|
US5540821A
(en)
*
|
1993-07-16 |
1996-07-30 |
Applied Materials, Inc. |
Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
|
US5455014A
(en)
*
|
1993-07-20 |
1995-10-03 |
Hughes Aircraft Company |
Liquid deposition source gas delivery system
|
KR100274754B1
(ko)
*
|
1993-08-18 |
2000-12-15 |
히가시 데쓰로 |
성막장치 및 성막방법
|
US5567661A
(en)
*
|
1993-08-26 |
1996-10-22 |
Fujitsu Limited |
Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
|
US5565382A
(en)
*
|
1993-10-12 |
1996-10-15 |
Applied Materials, Inc. |
Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
|
US5454903A
(en)
*
|
1993-10-29 |
1995-10-03 |
Applied Materials, Inc. |
Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
|
US5647911A
(en)
*
|
1993-12-14 |
1997-07-15 |
Sony Corporation |
Gas diffuser plate assembly and RF electrode
|
JPH07201753A
(ja)
*
|
1993-12-29 |
1995-08-04 |
Nippon Steel Corp |
薄膜製造方法およびその装置
|
US5643366A
(en)
*
|
1994-01-31 |
1997-07-01 |
Applied Materials, Inc. |
Wafer handling within a vacuum chamber using vacuum
|
JPH07268622A
(ja)
*
|
1994-03-01 |
1995-10-17 |
Applied Sci & Technol Inc |
マイクロ波プラズマ付着源
|
TW254030B
(en)
*
|
1994-03-18 |
1995-08-11 |
Anelva Corp |
Mechanic escape mechanism for substrate
|
US5468298A
(en)
*
|
1994-04-13 |
1995-11-21 |
Applied Materials, Inc. |
Bottom purge manifold for CVD tungsten process
|
US5975912A
(en)
*
|
1994-06-03 |
1999-11-02 |
Materials Research Corporation |
Low temperature plasma-enhanced formation of integrated circuits
|
US5628829A
(en)
*
|
1994-06-03 |
1997-05-13 |
Materials Research Corporation |
Method and apparatus for low temperature deposition of CVD and PECVD films
|
US5665640A
(en)
|
1994-06-03 |
1997-09-09 |
Sony Corporation |
Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
|
US5672388A
(en)
*
|
1994-07-08 |
1997-09-30 |
Exxon Research & Engineering Company |
Membrane reparation and poer size reduction using interfacial ozone assisted chemical vapor deposition
|
US5441568A
(en)
*
|
1994-07-15 |
1995-08-15 |
Applied Materials, Inc. |
Exhaust baffle for uniform gas flow pattern
|
US5643394A
(en)
*
|
1994-09-16 |
1997-07-01 |
Applied Materials, Inc. |
Gas injection slit nozzle for a plasma process reactor
|
US5746875A
(en)
*
|
1994-09-16 |
1998-05-05 |
Applied Materials, Inc. |
Gas injection slit nozzle for a plasma process reactor
|
US5492868A
(en)
*
|
1994-10-24 |
1996-02-20 |
Taiwan Semiconductor Manufacturing Corp. Ltd. |
Capped reflow process to avoid contact autodoping and supress tungsten silicide peeling
|
US6699530B2
(en)
*
|
1995-07-06 |
2004-03-02 |
Applied Materials, Inc. |
Method for constructing a film on a semiconductor wafer
|
US6155198A
(en)
*
|
1994-11-14 |
2000-12-05 |
Applied Materials, Inc. |
Apparatus for constructing an oxidized film on a semiconductor wafer
|
US5928427A
(en)
*
|
1994-12-16 |
1999-07-27 |
Hwang; Chul-Ju |
Apparatus for low pressure chemical vapor deposition
|
US5976310A
(en)
*
|
1995-01-03 |
1999-11-02 |
Applied Materials, Inc. |
Plasma etch system
|
US5571576A
(en)
*
|
1995-02-10 |
1996-11-05 |
Watkins-Johnson |
Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
|
KR0144228B1
(ko)
*
|
1995-03-04 |
1998-08-17 |
김주용 |
다층 금속배선의 층간 절연막 형성 방법
|
US5653806A
(en)
*
|
1995-03-10 |
1997-08-05 |
Advanced Technology Materials, Inc. |
Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
|
JP3362552B2
(ja)
*
|
1995-03-10 |
2003-01-07 |
東京エレクトロン株式会社 |
成膜処理装置
|
JP3257328B2
(ja)
|
1995-03-16 |
2002-02-18 |
株式会社日立製作所 |
プラズマ処理装置及びプラズマ処理方法
|
DE19516669A1
(de)
*
|
1995-05-05 |
1996-11-07 |
Siemens Ag |
Verfahren zur Abscheidung einer Siliziumoxidschicht
|
US6716769B1
(en)
|
1995-06-02 |
2004-04-06 |
Micron Technology, Inc. |
Use of a plasma source to form a layer during the formation of a semiconductor device
|
US5950092A
(en)
*
|
1995-06-02 |
1999-09-07 |
Micron Technology, Inc. |
Use of a plasma source to form a layer during the formation of a semiconductor device
|
US7294578B1
(en)
|
1995-06-02 |
2007-11-13 |
Micron Technology, Inc. |
Use of a plasma source to form a layer during the formation of a semiconductor device
|
US5997962A
(en)
*
|
1995-06-30 |
1999-12-07 |
Tokyo Electron Limited |
Plasma process utilizing an electrostatic chuck
|
TW283250B
(en)
|
1995-07-10 |
1996-08-11 |
Watkins Johnson Co |
Plasma enhanced chemical processing reactor and method
|
JPH0925586A
(ja)
*
|
1995-07-11 |
1997-01-28 |
Anelva Corp |
基板処理装置および基板処理方法
|
US5551985A
(en)
*
|
1995-08-18 |
1996-09-03 |
Torrex Equipment Corporation |
Method and apparatus for cold wall chemical vapor deposition
|
US6086680A
(en)
*
|
1995-08-22 |
2000-07-11 |
Asm America, Inc. |
Low-mass susceptor
|
US5518959A
(en)
|
1995-08-24 |
1996-05-21 |
Taiwan Semiconductor Manufacturing Company |
Method for selectively depositing silicon oxide spacer layers
|
US5614249A
(en)
*
|
1995-08-28 |
1997-03-25 |
Lsi Logic Corporation |
Leak detection system for a gas manifold of a chemical vapor deposition apparatus
|
TW279240B
(en)
|
1995-08-30 |
1996-06-21 |
Applied Materials Inc |
Parallel-plate icp source/rf bias electrode head
|
US5908504A
(en)
*
|
1995-09-20 |
1999-06-01 |
Memc Electronic Materials, Inc. |
Method for tuning barrel reactor purge system
|
US6193802B1
(en)
|
1995-09-25 |
2001-02-27 |
Applied Materials, Inc. |
Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
|
US6194628B1
(en)
*
|
1995-09-25 |
2001-02-27 |
Applied Materials, Inc. |
Method and apparatus for cleaning a vacuum line in a CVD system
|
US6045618A
(en)
*
|
1995-09-25 |
2000-04-04 |
Applied Materials, Inc. |
Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
|
US6187072B1
(en)
|
1995-09-25 |
2001-02-13 |
Applied Materials, Inc. |
Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
|
US5665644A
(en)
*
|
1995-11-03 |
1997-09-09 |
Micron Technology, Inc. |
Semiconductor processing method of forming electrically conductive interconnect lines and integrated circuitry
|
US5599740A
(en)
*
|
1995-11-16 |
1997-02-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Deposit-etch-deposit ozone/teos insulator layer method
|
US5736423A
(en)
*
|
1995-11-16 |
1998-04-07 |
Advanced Micro Devices, Inc. |
Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
|
US5552017A
(en)
*
|
1995-11-27 |
1996-09-03 |
Taiwan Semiconductor Manufacturing Company |
Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
|
US5860640A
(en)
*
|
1995-11-29 |
1999-01-19 |
Applied Materials, Inc. |
Semiconductor wafer alignment member and clamp ring
|
US6231776B1
(en)
|
1995-12-04 |
2001-05-15 |
Daniel L. Flamm |
Multi-temperature processing
|
US6009827A
(en)
*
|
1995-12-06 |
2000-01-04 |
Applied Materials, Inc. |
Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
|
JP2000502212A
(ja)
*
|
1995-12-15 |
2000-02-22 |
ワトキンズ―ジョンソン カンパニー |
金属汚染物質を減らした絶縁膜の形成方法
|
US5926689A
(en)
*
|
1995-12-19 |
1999-07-20 |
International Business Machines Corporation |
Process for reducing circuit damage during PECVD in single wafer PECVD system
|
KR100267418B1
(ko)
*
|
1995-12-28 |
2000-10-16 |
엔도 마코토 |
플라스마처리방법및플라스마처리장치
|
US5746834A
(en)
*
|
1996-01-04 |
1998-05-05 |
Memc Electronics Materials, Inc. |
Method and apparatus for purging barrel reactors
|
US6054013A
(en)
*
|
1996-02-02 |
2000-04-25 |
Applied Materials, Inc. |
Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
|
US6036878A
(en)
|
1996-02-02 |
2000-03-14 |
Applied Materials, Inc. |
Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
|
AU6332296A
(en)
*
|
1996-02-02 |
1997-08-22 |
Micron Technology, Inc. |
Reducing fixed charge in semiconductor device layers
|
JPH09232296A
(ja)
*
|
1996-02-23 |
1997-09-05 |
Mitsubishi Electric Corp |
半導体装置の製造装置および製造方法
|
US5895530A
(en)
*
|
1996-02-26 |
1999-04-20 |
Applied Materials, Inc. |
Method and apparatus for directing fluid through a semiconductor processing chamber
|
US6017144A
(en)
*
|
1996-03-05 |
2000-01-25 |
Applied Materials, Inc. |
Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer
|
JP3177436B2
(ja)
*
|
1996-03-21 |
2001-06-18 |
株式会社日立製作所 |
半導体集積回路装置
|
US5741363A
(en)
*
|
1996-03-22 |
1998-04-21 |
Advanced Technology Materials, Inc. |
Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
|
US5976993A
(en)
*
|
1996-03-28 |
1999-11-02 |
Applied Materials, Inc. |
Method for reducing the intrinsic stress of high density plasma films
|
US5858876A
(en)
*
|
1996-04-01 |
1999-01-12 |
Chartered Semiconductor Manufacturing, Ltd. |
Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
|
US6599574B1
(en)
|
1996-04-04 |
2003-07-29 |
Applied Materials Inc. |
Method and apparatus for forming a dielectric film using helium as a carrier gas
|
US5725675A
(en)
*
|
1996-04-16 |
1998-03-10 |
Applied Materials, Inc. |
Silicon carbide constant voltage gradient gas feedthrough
|
US5906683A
(en)
*
|
1996-04-16 |
1999-05-25 |
Applied Materials, Inc. |
Lid assembly for semiconductor processing chamber
|
US7763327B2
(en)
*
|
1996-04-22 |
2010-07-27 |
Micron Technology, Inc. |
Methods using ozone for CVD deposited films
|
US5763010A
(en)
*
|
1996-05-08 |
1998-06-09 |
Applied Materials, Inc. |
Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
|
US6440221B2
(en)
|
1996-05-13 |
2002-08-27 |
Applied Materials, Inc. |
Process chamber having improved temperature control
|
US6248398B1
(en)
|
1996-05-22 |
2001-06-19 |
Applied Materials, Inc. |
Coater having a controllable pressurized process chamber for semiconductor processing
|
US6072160A
(en)
*
|
1996-06-03 |
2000-06-06 |
Applied Materials, Inc. |
Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
|
JP3220645B2
(ja)
*
|
1996-09-06 |
2001-10-22 |
富士通株式会社 |
半導体装置の製造方法
|
US5993916A
(en)
*
|
1996-07-12 |
1999-11-30 |
Applied Materials, Inc. |
Method for substrate processing with improved throughput and yield
|
US5807785A
(en)
*
|
1996-08-02 |
1998-09-15 |
Applied Materials, Inc. |
Low dielectric constant silicon dioxide sandwich layer
|
US6198074B1
(en)
*
|
1996-09-06 |
2001-03-06 |
Mattson Technology, Inc. |
System and method for rapid thermal processing with transitional heater
|
US6039812A
(en)
*
|
1996-10-21 |
2000-03-21 |
Abb Research Ltd. |
Device for epitaxially growing objects and method for such a growth
|
US5824375A
(en)
*
|
1996-10-24 |
1998-10-20 |
Applied Materials, Inc. |
Decontamination of a plasma reactor using a plasma after a chamber clean
|
US6083569A
(en)
*
|
1996-10-25 |
2000-07-04 |
Applied Materials, Inc. |
Discharging a wafer after a plasma process for dielectric deposition
|
US6114216A
(en)
*
|
1996-11-13 |
2000-09-05 |
Applied Materials, Inc. |
Methods for shallow trench isolation
|
JP3925566B2
(ja)
*
|
1996-11-15 |
2007-06-06 |
キヤノンアネルバ株式会社 |
薄膜形成装置
|
US6152070A
(en)
*
|
1996-11-18 |
2000-11-28 |
Applied Materials, Inc. |
Tandem process chamber
|
US5990000A
(en)
*
|
1997-02-20 |
1999-11-23 |
Applied Materials, Inc. |
Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
|
US6190233B1
(en)
|
1997-02-20 |
2001-02-20 |
Applied Materials, Inc. |
Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
|
US6132517A
(en)
*
|
1997-02-21 |
2000-10-17 |
Applied Materials, Inc. |
Multiple substrate processing apparatus for enhanced throughput
|
JPH10242142A
(ja)
*
|
1997-02-21 |
1998-09-11 |
Nippon Asm Kk |
半導体素子とその製造方法
|
US5817566A
(en)
*
|
1997-03-03 |
1998-10-06 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
|
US5861086A
(en)
*
|
1997-03-10 |
1999-01-19 |
Applied Materials, Inc. |
Method and apparatus for sputter etch conditioning a ceramic body
|
US6432203B1
(en)
*
|
1997-03-17 |
2002-08-13 |
Applied Komatsu Technology, Inc. |
Heated and cooled vacuum chamber shield
|
US5877072A
(en)
*
|
1997-03-31 |
1999-03-02 |
Intel Corporation |
Process for forming doped regions from solid phase diffusion source
|
US6551857B2
(en)
|
1997-04-04 |
2003-04-22 |
Elm Technology Corporation |
Three dimensional structure integrated circuits
|
US5915167A
(en)
*
|
1997-04-04 |
1999-06-22 |
Elm Technology Corporation |
Three dimensional structure memory
|
US6551665B1
(en)
*
|
1997-04-17 |
2003-04-22 |
Micron Technology, Inc. |
Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
|
US6149974A
(en)
|
1997-05-05 |
2000-11-21 |
Applied Materials, Inc. |
Method for elimination of TEOS/ozone silicon oxide surface sensitivity
|
US6077786A
(en)
*
|
1997-05-08 |
2000-06-20 |
International Business Machines Corporation |
Methods and apparatus for filling high aspect ratio structures with silicate glass
|
US6162285A
(en)
*
|
1997-05-08 |
2000-12-19 |
Applied Materials, Inc. |
Ozone enhancement unit
|
JP3801730B2
(ja)
|
1997-05-09 |
2006-07-26 |
株式会社半導体エネルギー研究所 |
プラズマcvd装置及びそれを用いた薄膜形成方法
|
US6286451B1
(en)
*
|
1997-05-29 |
2001-09-11 |
Applied Materials, Inc. |
Dome: shape and temperature controlled surfaces
|
US5994662A
(en)
*
|
1997-05-29 |
1999-11-30 |
Applied Materials, Inc. |
Unique baffle to deflect remote plasma clean gases
|
US6136685A
(en)
*
|
1997-06-03 |
2000-10-24 |
Applied Materials, Inc. |
High deposition rate recipe for low dielectric constant films
|
US5937323A
(en)
*
|
1997-06-03 |
1999-08-10 |
Applied Materials, Inc. |
Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
|
JP3396399B2
(ja)
*
|
1997-06-26 |
2003-04-14 |
シャープ株式会社 |
電子デバイス製造装置
|
US5960158A
(en)
|
1997-07-11 |
1999-09-28 |
Ag Associates |
Apparatus and method for filtering light in a thermal processing chamber
|
US6312525B1
(en)
|
1997-07-11 |
2001-11-06 |
Applied Materials, Inc. |
Modular architecture for semiconductor wafer fabrication equipment
|
US5968276A
(en)
*
|
1997-07-11 |
1999-10-19 |
Applied Materials, Inc. |
Heat exchange passage connection
|
US5972078A
(en)
*
|
1997-07-31 |
1999-10-26 |
Fsi International, Inc. |
Exhaust rinse manifold for use with a coating apparatus
|
US6527865B1
(en)
|
1997-09-11 |
2003-03-04 |
Applied Materials, Inc. |
Temperature controlled gas feedthrough
|
US6258170B1
(en)
*
|
1997-09-11 |
2001-07-10 |
Applied Materials, Inc. |
Vaporization and deposition apparatus
|
US6003526A
(en)
*
|
1997-09-12 |
1999-12-21 |
Taiwan Semiconductor Manufacturing Company, Ltd |
In-sit chamber cleaning method
|
US6537418B1
(en)
*
|
1997-09-19 |
2003-03-25 |
Siemens Aktiengesellschaft |
Spatially uniform gas supply and pump configuration for large wafer diameters
|
JPH11111680A
(ja)
|
1997-09-30 |
1999-04-23 |
Yasuhiro Horiike |
エッチング方法
|
US6090206A
(en)
*
|
1997-10-20 |
2000-07-18 |
Applied Materials, Inc. |
Throttle valve providing enhanced cleaning
|
US6105435A
(en)
|
1997-10-24 |
2000-08-22 |
Cypress Semiconductor Corp. |
Circuit and apparatus for verifying a chamber seal, and method of depositing a material onto a substrate using the same
|
US6121164A
(en)
*
|
1997-10-24 |
2000-09-19 |
Applied Materials, Inc. |
Method for forming low compressive stress fluorinated ozone/TEOS oxide film
|
KR100660416B1
(ko)
*
|
1997-11-03 |
2006-12-22 |
에이에스엠 아메리카, 인코포레이티드 |
개량된 저질량 웨이퍼 지지 시스템
|
US5920791A
(en)
*
|
1997-11-06 |
1999-07-06 |
Vanguard International Semiconductor Corporation |
Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
|
US5976900A
(en)
*
|
1997-12-08 |
1999-11-02 |
Cypress Semiconductor Corp. |
Method of reducing impurity contamination in semiconductor process chambers
|
US6544333B2
(en)
|
1997-12-15 |
2003-04-08 |
Advanced Silicon Materials Llc |
Chemical vapor deposition system for polycrystalline silicon rod production
|
WO1999031013A1
(en)
|
1997-12-15 |
1999-06-24 |
Advanced Silicon Materials, Inc. |
Chemical vapor deposition system for polycrystalline silicon rod production
|
US5970383A
(en)
*
|
1997-12-17 |
1999-10-19 |
Advanced Micro Devices |
Method of manufacturing a semiconductor device with improved control of deposition layer thickness
|
US6749687B1
(en)
|
1998-01-09 |
2004-06-15 |
Asm America, Inc. |
In situ growth of oxide and silicon layers
|
US6095159A
(en)
*
|
1998-01-22 |
2000-08-01 |
Micron Technology, Inc. |
Method of modifying an RF circuit of a plasma chamber to increase chamber life and process capabilities
|
US6120605A
(en)
*
|
1998-02-05 |
2000-09-19 |
Asm Japan K.K. |
Semiconductor processing system
|
US6340435B1
(en)
*
|
1998-02-11 |
2002-01-22 |
Applied Materials, Inc. |
Integrated low K dielectrics and etch stops
|
US6054379A
(en)
|
1998-02-11 |
2000-04-25 |
Applied Materials, Inc. |
Method of depositing a low k dielectric with organo silane
|
US6413583B1
(en)
|
1998-02-11 |
2002-07-02 |
Applied Materials, Inc. |
Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
|
US6303523B2
(en)
|
1998-02-11 |
2001-10-16 |
Applied Materials, Inc. |
Plasma processes for depositing low dielectric constant films
|
US6287990B1
(en)
|
1998-02-11 |
2001-09-11 |
Applied Materials, Inc. |
CVD plasma assisted low dielectric constant films
|
US6593247B1
(en)
*
|
1998-02-11 |
2003-07-15 |
Applied Materials, Inc. |
Method of depositing low k films using an oxidizing plasma
|
EP1607493B1
(de)
|
1998-02-11 |
2008-12-10 |
Applied Materials, Inc. |
Plasmaabscheidungsprozesse bei dielektrischen Filmen mit geringer Dielektrizitätskonstante
|
US6627532B1
(en)
*
|
1998-02-11 |
2003-09-30 |
Applied Materials, Inc. |
Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
|
US6660656B2
(en)
|
1998-02-11 |
2003-12-09 |
Applied Materials Inc. |
Plasma processes for depositing low dielectric constant films
|
US6013134A
(en)
|
1998-02-18 |
2000-01-11 |
International Business Machines Corporation |
Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
|
US6142164A
(en)
*
|
1998-03-09 |
2000-11-07 |
Ultra Clean Technology Systems & Service, Inc. |
Method and apparatus for removing leaking gas in an integrated gas panel system
|
US6194038B1
(en)
*
|
1998-03-20 |
2001-02-27 |
Applied Materials, Inc. |
Method for deposition of a conformal layer on a substrate
|
JP3189780B2
(ja)
*
|
1998-03-24 |
2001-07-16 |
日本電気株式会社 |
半導体装置の製造装置及びその製造方法
|
US6433314B1
(en)
*
|
1998-04-08 |
2002-08-13 |
Applied Materials, Inc. |
Direct temperature control for a component of a substrate processing chamber
|
US6218268B1
(en)
*
|
1998-05-05 |
2001-04-17 |
Applied Materials, Inc. |
Two-step borophosphosilicate glass deposition process and related devices and apparatus
|
DE19821007A1
(de)
*
|
1998-05-11 |
1999-11-25 |
Steag Rtp Systems Gmbh |
Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
|
US5970214A
(en)
*
|
1998-05-14 |
1999-10-19 |
Ag Associates |
Heating device for semiconductor wafers
|
US5930456A
(en)
*
|
1998-05-14 |
1999-07-27 |
Ag Associates |
Heating device for semiconductor wafers
|
KR100267885B1
(ko)
*
|
1998-05-18 |
2000-11-01 |
서성기 |
반도체 박막증착장치
|
US6667553B2
(en)
|
1998-05-29 |
2003-12-23 |
Dow Corning Corporation |
H:SiOC coated substrates
|
US6159871A
(en)
|
1998-05-29 |
2000-12-12 |
Dow Corning Corporation |
Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
|
US6148761A
(en)
*
|
1998-06-16 |
2000-11-21 |
Applied Materials, Inc. |
Dual channel gas distribution plate
|
US6054206A
(en)
*
|
1998-06-22 |
2000-04-25 |
Novellus Systems, Inc. |
Chemical vapor deposition of low density silicon dioxide films
|
US6182603B1
(en)
|
1998-07-13 |
2001-02-06 |
Applied Komatsu Technology, Inc. |
Surface-treated shower head for use in a substrate processing chamber
|
US6080241A
(en)
*
|
1998-09-02 |
2000-06-27 |
Emcore Corporation |
Chemical vapor deposition chamber having an adjustable flow flange
|
US5985375A
(en)
*
|
1998-09-03 |
1999-11-16 |
Micron Technology, Inc. |
Method for pulsed-plasma enhanced vapor deposition
|
US6190732B1
(en)
|
1998-09-03 |
2001-02-20 |
Cvc Products, Inc. |
Method and system for dispensing process gas for fabricating a device on a substrate
|
US6210484B1
(en)
|
1998-09-09 |
2001-04-03 |
Steag Rtp Systems, Inc. |
Heating device containing a multi-lamp cone for heating semiconductor wafers
|
US6800571B2
(en)
*
|
1998-09-29 |
2004-10-05 |
Applied Materials Inc. |
CVD plasma assisted low dielectric constant films
|
US6974766B1
(en)
|
1998-10-01 |
2005-12-13 |
Applied Materials, Inc. |
In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
|
US6217272B1
(en)
|
1998-10-01 |
2001-04-17 |
Applied Science And Technology, Inc. |
In-line sputter deposition system
|
US6328858B1
(en)
|
1998-10-01 |
2001-12-11 |
Nexx Systems Packaging, Llc |
Multi-layer sputter deposition apparatus
|
US6159333A
(en)
*
|
1998-10-08 |
2000-12-12 |
Applied Materials, Inc. |
Substrate processing system configurable for deposition or cleaning
|
US6454860B2
(en)
*
|
1998-10-27 |
2002-09-24 |
Applied Materials, Inc. |
Deposition reactor having vaporizing, mixing and cleaning capabilities
|
JP3234576B2
(ja)
*
|
1998-10-30 |
2001-12-04 |
アプライド マテリアルズ インコーポレイテッド |
半導体製造装置におけるウェハ支持装置
|
US6063196A
(en)
*
|
1998-10-30 |
2000-05-16 |
Applied Materials, Inc. |
Semiconductor processing chamber calibration tool
|
US20010049181A1
(en)
|
1998-11-17 |
2001-12-06 |
Sudha Rathi |
Plasma treatment for cooper oxide reduction
|
US6355571B1
(en)
*
|
1998-11-17 |
2002-03-12 |
Applied Materials, Inc. |
Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
|
US6302960B1
(en)
|
1998-11-23 |
2001-10-16 |
Applied Materials, Inc. |
Photoresist coater
|
US6771895B2
(en)
*
|
1999-01-06 |
2004-08-03 |
Mattson Technology, Inc. |
Heating device for heating semiconductor wafers in thermal processing chambers
|
US6374831B1
(en)
*
|
1999-02-04 |
2002-04-23 |
Applied Materials, Inc. |
Accelerated plasma clean
|
US6281141B1
(en)
|
1999-02-08 |
2001-08-28 |
Steag Rtp Systems, Inc. |
Process for forming thin dielectric layers in semiconductor devices
|
US6589437B1
(en)
|
1999-03-05 |
2003-07-08 |
Applied Materials, Inc. |
Active species control with time-modulated plasma
|
US6350397B1
(en)
|
1999-03-10 |
2002-02-26 |
Aspen Research Corporation |
Optical member with layer having a coating geometry and composition that enhance cleaning properties
|
US6194030B1
(en)
|
1999-03-18 |
2001-02-27 |
International Business Machines Corporation |
Chemical vapor deposition velocity control apparatus
|
US6197705B1
(en)
*
|
1999-03-18 |
2001-03-06 |
Chartered Semiconductor Manufacturing Ltd. |
Method of silicon oxide and silicon glass films deposition
|
JP3236576B2
(ja)
|
1999-03-24 |
2001-12-10 |
キヤノン販売株式会社 |
層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置
|
US6936310B1
(en)
*
|
1999-04-02 |
2005-08-30 |
Sharp Kabushiki Kaisha |
Plasma processing method
|
US6090675A
(en)
*
|
1999-04-02 |
2000-07-18 |
Taiwan Semiconductor Manufacturing Company |
Formation of dielectric layer employing high ozone:tetraethyl-ortho-silicate ratios during chemical vapor deposition
|
US6170430B1
(en)
|
1999-04-13 |
2001-01-09 |
Applied Materials, Inc. |
Gas feedthrough with electrostatic discharge characteristic
|
JP3911902B2
(ja)
*
|
1999-04-16 |
2007-05-09 |
東京エレクトロン株式会社 |
処理装置及び金属部品の表面処理方法
|
US6303496B1
(en)
|
1999-04-27 |
2001-10-16 |
Cypress Semiconductor Corporation |
Methods of filling constrained spaces with insulating materials and/or of forming contact holes and/or contacts in an integrated circuit
|
NL1012004C2
(nl)
*
|
1999-05-07 |
2000-11-13 |
Asm Int |
Werkwijze voor het verplaatsen van wafers alsmede ring.
|
FI118342B
(fi)
*
|
1999-05-10 |
2007-10-15 |
Asm Int |
Laite ohutkalvojen valmistamiseksi
|
US6440261B1
(en)
|
1999-05-25 |
2002-08-27 |
Applied Materials, Inc. |
Dual buffer chamber cluster tool for semiconductor wafer processing
|
US6916399B1
(en)
*
|
1999-06-03 |
2005-07-12 |
Applied Materials Inc |
Temperature controlled window with a fluid supply system
|
US6821571B2
(en)
*
|
1999-06-18 |
2004-11-23 |
Applied Materials Inc. |
Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
|
JP4288767B2
(ja)
*
|
1999-07-07 |
2009-07-01 |
東京エレクトロン株式会社 |
半導体装置の製造方法
|
US6206972B1
(en)
*
|
1999-07-08 |
2001-03-27 |
Genus, Inc. |
Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
|
US6354241B1
(en)
|
1999-07-15 |
2002-03-12 |
Applied Materials, Inc. |
Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
|
US6255222B1
(en)
|
1999-08-24 |
2001-07-03 |
Applied Materials, Inc. |
Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
|
US6180508B1
(en)
*
|
1999-09-02 |
2001-01-30 |
Micron Technology, Inc. |
Methods of fabricating buried digit lines and semiconductor devices including same
|
JP4393677B2
(ja)
|
1999-09-14 |
2010-01-06 |
株式会社堀場エステック |
液体材料気化方法および装置並びに制御バルブ
|
US6149365A
(en)
*
|
1999-09-21 |
2000-11-21 |
Applied Komatsu Technology, Inc. |
Support frame for substrates
|
US6291357B1
(en)
|
1999-10-06 |
2001-09-18 |
Applied Materials, Inc. |
Method and apparatus for etching a substrate with reduced microloading
|
US6364949B1
(en)
|
1999-10-19 |
2002-04-02 |
Applied Materials, Inc. |
300 mm CVD chamber design for metal-organic thin film deposition
|
US6399489B1
(en)
|
1999-11-01 |
2002-06-04 |
Applied Materials, Inc. |
Barrier layer deposition using HDP-CVD
|
US6558509B2
(en)
*
|
1999-11-30 |
2003-05-06 |
Applied Materials, Inc. |
Dual wafer load lock
|
KR20010062209A
(ko)
*
|
1999-12-10 |
2001-07-07 |
히가시 데쓰로 |
고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
|
US6537420B2
(en)
*
|
1999-12-17 |
2003-03-25 |
Texas Instruments Incorporated |
Method and apparatus for restricting process fluid flow within a showerhead assembly
|
US6635114B2
(en)
|
1999-12-17 |
2003-10-21 |
Applied Material, Inc. |
High temperature filter for CVD apparatus
|
US6503330B1
(en)
|
1999-12-22 |
2003-01-07 |
Genus, Inc. |
Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
|
US6348420B1
(en)
|
1999-12-23 |
2002-02-19 |
Asm America, Inc. |
Situ dielectric stacks
|
US6551399B1
(en)
|
2000-01-10 |
2003-04-22 |
Genus Inc. |
Fully integrated process for MIM capacitors using atomic layer deposition
|
IT1316286B1
(it)
*
|
2000-01-20 |
2003-04-10 |
St Microelectronics Srl |
Processo di attacco a bassa velocita' di rimozione nella fabbricazionedi dispositivi integrati a semiconduttore, utilizzante una camera atta
|
US6221164B1
(en)
|
2000-01-25 |
2001-04-24 |
Advanced Micro Devices, Inc. |
Method of in-situ cleaning for LPCVD teos pump
|
JP2001214277A
(ja)
*
|
2000-01-31 |
2001-08-07 |
Canon Inc |
堆積膜形成装置および堆積膜形成方法
|
JP3549188B2
(ja)
*
|
2000-03-27 |
2004-08-04 |
日本エー・エス・エム株式会社 |
半導体基板への薄膜成膜方法
|
US20030010354A1
(en)
|
2000-03-27 |
2003-01-16 |
Applied Materials, Inc. |
Fluorine process for cleaning semiconductor process chamber
|
US6500356B2
(en)
*
|
2000-03-27 |
2002-12-31 |
Applied Materials, Inc. |
Selectively etching silicon using fluorine without plasma
|
US6401652B1
(en)
|
2000-05-04 |
2002-06-11 |
Applied Materials, Inc. |
Plasma reactor inductive coil antenna with flat surface facing the plasma
|
JP4422295B2
(ja)
|
2000-05-17 |
2010-02-24 |
キヤノンアネルバ株式会社 |
Cvd装置
|
US6461435B1
(en)
|
2000-06-22 |
2002-10-08 |
Applied Materials, Inc. |
Showerhead with reduced contact area
|
KR100332314B1
(ko)
*
|
2000-06-24 |
2002-04-12 |
서성기 |
박막증착용 반응용기
|
US6620723B1
(en)
|
2000-06-27 |
2003-09-16 |
Applied Materials, Inc. |
Formation of boride barrier layers using chemisorption techniques
|
US7405158B2
(en)
*
|
2000-06-28 |
2008-07-29 |
Applied Materials, Inc. |
Methods for depositing tungsten layers employing atomic layer deposition techniques
|
US6551929B1
(en)
*
|
2000-06-28 |
2003-04-22 |
Applied Materials, Inc. |
Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
|
US7964505B2
(en)
*
|
2005-01-19 |
2011-06-21 |
Applied Materials, Inc. |
Atomic layer deposition of tungsten materials
|
US7101795B1
(en)
|
2000-06-28 |
2006-09-05 |
Applied Materials, Inc. |
Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
|
US7732327B2
(en)
|
2000-06-28 |
2010-06-08 |
Applied Materials, Inc. |
Vapor deposition of tungsten materials
|
US6794311B2
(en)
|
2000-07-14 |
2004-09-21 |
Applied Materials Inc. |
Method and apparatus for treating low k dielectric layers to reduce diffusion
|
US6530733B2
(en)
|
2000-07-27 |
2003-03-11 |
Nexx Systems Packaging, Llc |
Substrate processing pallet and related substrate processing method and machine
|
US6821912B2
(en)
|
2000-07-27 |
2004-11-23 |
Nexx Systems Packaging, Llc |
Substrate processing pallet and related substrate processing method and machine
|
US6682288B2
(en)
|
2000-07-27 |
2004-01-27 |
Nexx Systems Packaging, Llc |
Substrate processing pallet and related substrate processing method and machine
|
US6335288B1
(en)
|
2000-08-24 |
2002-01-01 |
Applied Materials, Inc. |
Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
|
JP2004523878A
(ja)
*
|
2000-08-28 |
2004-08-05 |
アプライド マテリアルズ インコーポレイテッド |
ガラス基板の予備ポリコーティング
|
US6448186B1
(en)
|
2000-10-06 |
2002-09-10 |
Novellus Systems, Inc. |
Method and apparatus for use of hydrogen and silanes in plasma
|
US6617173B1
(en)
|
2000-10-11 |
2003-09-09 |
Genus, Inc. |
Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
|
US20030190424A1
(en)
*
|
2000-10-20 |
2003-10-09 |
Ofer Sneh |
Process for tungsten silicide atomic layer deposition
|
US6443435B1
(en)
|
2000-10-23 |
2002-09-03 |
Applied Materials, Inc. |
Vaporization of precursors at point of use
|
US6753258B1
(en)
|
2000-11-03 |
2004-06-22 |
Applied Materials Inc. |
Integration scheme for dual damascene structure
|
US7030045B2
(en)
*
|
2000-11-07 |
2006-04-18 |
Tokyo Electron Limited |
Method of fabricating oxides with low defect densities
|
WO2002045561A2
(en)
*
|
2000-11-20 |
2002-06-13 |
Applied Epi, Inc. |
Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
|
US6998579B2
(en)
|
2000-12-29 |
2006-02-14 |
Applied Materials, Inc. |
Chamber for uniform substrate heating
|
US20020083897A1
(en)
*
|
2000-12-29 |
2002-07-04 |
Applied Materials, Inc. |
Full glass substrate deposition in plasma enhanced chemical vapor deposition
|
US6825447B2
(en)
*
|
2000-12-29 |
2004-11-30 |
Applied Materials, Inc. |
Apparatus and method for uniform substrate heating and contaminate collection
|
US6765178B2
(en)
*
|
2000-12-29 |
2004-07-20 |
Applied Materials, Inc. |
Chamber for uniform substrate heating
|
US6951804B2
(en)
*
|
2001-02-02 |
2005-10-04 |
Applied Materials, Inc. |
Formation of a tantalum-nitride layer
|
US6537733B2
(en)
*
|
2001-02-23 |
2003-03-25 |
Applied Materials, Inc. |
Method of depositing low dielectric constant silicon carbide layers
|
US6660126B2
(en)
|
2001-03-02 |
2003-12-09 |
Applied Materials, Inc. |
Lid assembly for a processing system to facilitate sequential deposition techniques
|
US6878206B2
(en)
*
|
2001-07-16 |
2005-04-12 |
Applied Materials, Inc. |
Lid assembly for a processing system to facilitate sequential deposition techniques
|
US6939579B2
(en)
*
|
2001-03-07 |
2005-09-06 |
Asm International N.V. |
ALD reactor and method with controlled wall temperature
|
US6734020B2
(en)
*
|
2001-03-07 |
2004-05-11 |
Applied Materials, Inc. |
Valve control system for atomic layer deposition chamber
|
US6447651B1
(en)
|
2001-03-07 |
2002-09-10 |
Applied Materials, Inc. |
High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
|
US20020129768A1
(en)
*
|
2001-03-15 |
2002-09-19 |
Carpenter Craig M. |
Chemical vapor deposition apparatuses and deposition methods
|
US6709721B2
(en)
|
2001-03-28 |
2004-03-23 |
Applied Materials Inc. |
Purge heater design and process development for the improvement of low k film properties
|
US6472333B2
(en)
|
2001-03-28 |
2002-10-29 |
Applied Materials, Inc. |
Silicon carbide cap layers for low dielectric constant silicon oxide layers
|
US6748994B2
(en)
*
|
2001-04-11 |
2004-06-15 |
Avery Dennison Corporation |
Label applicator, method and label therefor
|
US6537928B1
(en)
*
|
2002-02-19 |
2003-03-25 |
Asm Japan K.K. |
Apparatus and method for forming low dielectric constant film
|
US6596653B2
(en)
*
|
2001-05-11 |
2003-07-22 |
Applied Materials, Inc. |
Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
|
US6740601B2
(en)
*
|
2001-05-11 |
2004-05-25 |
Applied Materials Inc. |
HDP-CVD deposition process for filling high aspect ratio gaps
|
US7159597B2
(en)
*
|
2001-06-01 |
2007-01-09 |
Applied Materials, Inc. |
Multistep remote plasma clean process
|
US20020185067A1
(en)
*
|
2001-06-07 |
2002-12-12 |
International Business Machines Corporation |
Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
|
US6486082B1
(en)
*
|
2001-06-18 |
2002-11-26 |
Applied Materials, Inc. |
CVD plasma assisted lower dielectric constant sicoh film
|
US6811651B2
(en)
*
|
2001-06-22 |
2004-11-02 |
Tokyo Electron Limited |
Gas temperature control for a plasma process
|
JP5175414B2
(ja)
*
|
2001-06-27 |
2013-04-03 |
アプライド マテリアルズ インコーポレイテッド |
膜形成方法及び装置
|
US20070009658A1
(en)
*
|
2001-07-13 |
2007-01-11 |
Yoo Jong H |
Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
|
US6868856B2
(en)
*
|
2001-07-13 |
2005-03-22 |
Applied Materials, Inc. |
Enhanced remote plasma cleaning
|
US7211144B2
(en)
*
|
2001-07-13 |
2007-05-01 |
Applied Materials, Inc. |
Pulsed nucleation deposition of tungsten layers
|
JP3990881B2
(ja)
*
|
2001-07-23 |
2007-10-17 |
株式会社日立製作所 |
半導体製造装置及びそのクリーニング方法
|
US7085616B2
(en)
|
2001-07-27 |
2006-08-01 |
Applied Materials, Inc. |
Atomic layer deposition apparatus
|
TWI224815B
(en)
*
|
2001-08-01 |
2004-12-01 |
Tokyo Electron Ltd |
Gas processing apparatus and gas processing method
|
US6677250B2
(en)
*
|
2001-08-17 |
2004-01-13 |
Micron Technology, Inc. |
CVD apparatuses and methods of forming a layer over a semiconductor substrate
|
US6762127B2
(en)
|
2001-08-23 |
2004-07-13 |
Yves Pierre Boiteux |
Etch process for dielectric materials comprising oxidized organo silane materials
|
US6530157B1
(en)
|
2001-09-04 |
2003-03-11 |
Process Integration |
Precise positioning device for workpieces
|
US6926926B2
(en)
*
|
2001-09-10 |
2005-08-09 |
Applied Materials, Inc. |
Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
|
US7049226B2
(en)
*
|
2001-09-26 |
2006-05-23 |
Applied Materials, Inc. |
Integration of ALD tantalum nitride for copper metallization
|
US6936906B2
(en)
|
2001-09-26 |
2005-08-30 |
Applied Materials, Inc. |
Integration of barrier layer and seed layer
|
US20030059538A1
(en)
*
|
2001-09-26 |
2003-03-27 |
Applied Materials, Inc. |
Integration of barrier layer and seed layer
|
US6656837B2
(en)
*
|
2001-10-11 |
2003-12-02 |
Applied Materials, Inc. |
Method of eliminating photoresist poisoning in damascene applications
|
US6916398B2
(en)
|
2001-10-26 |
2005-07-12 |
Applied Materials, Inc. |
Gas delivery apparatus and method for atomic layer deposition
|
EP1444380B1
(de)
*
|
2001-10-26 |
2005-03-23 |
Applied Materials, Inc. |
Gaszuführvorrichtung zur abscheidung von atomaren schichten
|
US7780785B2
(en)
*
|
2001-10-26 |
2010-08-24 |
Applied Materials, Inc. |
Gas delivery apparatus for atomic layer deposition
|
US6812064B2
(en)
*
|
2001-11-07 |
2004-11-02 |
Micron Technology, Inc. |
Ozone treatment of a ground semiconductor die to improve adhesive bonding to a substrate
|
US6890850B2
(en)
*
|
2001-12-14 |
2005-05-10 |
Applied Materials, Inc. |
Method of depositing dielectric materials in damascene applications
|
US6729824B2
(en)
|
2001-12-14 |
2004-05-04 |
Applied Materials, Inc. |
Dual robot processing system
|
US6838393B2
(en)
*
|
2001-12-14 |
2005-01-04 |
Applied Materials, Inc. |
Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
|
US6699784B2
(en)
|
2001-12-14 |
2004-03-02 |
Applied Materials Inc. |
Method for depositing a low k dielectric film (K>3.5) for hard mask application
|
US6906305B2
(en)
*
|
2002-01-08 |
2005-06-14 |
Brion Technologies, Inc. |
System and method for aerial image sensing
|
JP4102072B2
(ja)
|
2002-01-08 |
2008-06-18 |
株式会社東芝 |
半導体装置
|
US6620670B2
(en)
|
2002-01-18 |
2003-09-16 |
Applied Materials, Inc. |
Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
|
US6869880B2
(en)
*
|
2002-01-24 |
2005-03-22 |
Applied Materials, Inc. |
In situ application of etch back for improved deposition into high-aspect-ratio features
|
US6911391B2
(en)
|
2002-01-26 |
2005-06-28 |
Applied Materials, Inc. |
Integration of titanium and titanium nitride layers
|
US6998014B2
(en)
*
|
2002-01-26 |
2006-02-14 |
Applied Materials, Inc. |
Apparatus and method for plasma assisted deposition
|
US6827978B2
(en)
*
|
2002-02-11 |
2004-12-07 |
Applied Materials, Inc. |
Deposition of tungsten films
|
US6787185B2
(en)
*
|
2002-02-25 |
2004-09-07 |
Micron Technology, Inc. |
Deposition methods for improved delivery of metastable species
|
US6833161B2
(en)
*
|
2002-02-26 |
2004-12-21 |
Applied Materials, Inc. |
Cyclical deposition of tungsten nitride for metal oxide gate electrode
|
US20030168174A1
(en)
|
2002-03-08 |
2003-09-11 |
Foree Michael Todd |
Gas cushion susceptor system
|
US6825134B2
(en)
*
|
2002-03-26 |
2004-11-30 |
Applied Materials, Inc. |
Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
|
JP4128383B2
(ja)
*
|
2002-03-27 |
2008-07-30 |
東京エレクトロン株式会社 |
処理装置及び処理方法
|
US6843858B2
(en)
*
|
2002-04-02 |
2005-01-18 |
Applied Materials, Inc. |
Method of cleaning a semiconductor processing chamber
|
US6861321B2
(en)
|
2002-04-05 |
2005-03-01 |
Asm America, Inc. |
Method of loading a wafer onto a wafer holder to reduce thermal shock
|
US7439191B2
(en)
*
|
2002-04-05 |
2008-10-21 |
Applied Materials, Inc. |
Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
|
US6846516B2
(en)
*
|
2002-04-08 |
2005-01-25 |
Applied Materials, Inc. |
Multiple precursor cyclical deposition system
|
US6720027B2
(en)
|
2002-04-08 |
2004-04-13 |
Applied Materials, Inc. |
Cyclical deposition of a variable content titanium silicon nitride layer
|
US6875271B2
(en)
|
2002-04-09 |
2005-04-05 |
Applied Materials, Inc. |
Simultaneous cyclical deposition in different processing regions
|
US20030194825A1
(en)
*
|
2002-04-10 |
2003-10-16 |
Kam Law |
Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
|
US6869838B2
(en)
*
|
2002-04-09 |
2005-03-22 |
Applied Materials, Inc. |
Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
|
US20030211244A1
(en)
*
|
2002-04-11 |
2003-11-13 |
Applied Materials, Inc. |
Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
|
US20030194495A1
(en)
*
|
2002-04-11 |
2003-10-16 |
Applied Materials, Inc. |
Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
|
US7279432B2
(en)
|
2002-04-16 |
2007-10-09 |
Applied Materials, Inc. |
System and method for forming an integrated barrier layer
|
US6815373B2
(en)
*
|
2002-04-16 |
2004-11-09 |
Applied Materials Inc. |
Use of cyclic siloxanes for hardness improvement of low k dielectric films
|
US6908862B2
(en)
*
|
2002-05-03 |
2005-06-21 |
Applied Materials, Inc. |
HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
|
US7060330B2
(en)
*
|
2002-05-08 |
2006-06-13 |
Applied Materials, Inc. |
Method for forming ultra low k films using electron beam
|
US6936551B2
(en)
*
|
2002-05-08 |
2005-08-30 |
Applied Materials Inc. |
Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
|
US7056560B2
(en)
*
|
2002-05-08 |
2006-06-06 |
Applies Materials Inc. |
Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
|
US7067439B2
(en)
|
2002-06-14 |
2006-06-27 |
Applied Materials, Inc. |
ALD metal oxide deposition process using direct oxidation
|
US7186385B2
(en)
*
|
2002-07-17 |
2007-03-06 |
Applied Materials, Inc. |
Apparatus for providing gas to a processing chamber
|
US20040018715A1
(en)
*
|
2002-07-25 |
2004-01-29 |
Applied Materials, Inc. |
Method of cleaning a surface of a material layer
|
AU2003282533A1
(en)
*
|
2002-08-08 |
2004-02-25 |
Trikon Technologies Limited |
Improvements to showerheads
|
AU2003255254A1
(en)
*
|
2002-08-08 |
2004-02-25 |
Glenn J. Leedy |
Vertical system integration
|
US6887521B2
(en)
*
|
2002-08-15 |
2005-05-03 |
Micron Technology, Inc. |
Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
|
US20040231798A1
(en)
*
|
2002-09-13 |
2004-11-25 |
Applied Materials, Inc. |
Gas delivery system for semiconductor processing
|
US6946033B2
(en)
*
|
2002-09-16 |
2005-09-20 |
Applied Materials Inc. |
Heated gas distribution plate for a processing chamber
|
US7204912B2
(en)
*
|
2002-09-30 |
2007-04-17 |
Tokyo Electron Limited |
Method and apparatus for an improved bellows shield in a plasma processing system
|
US6798519B2
(en)
*
|
2002-09-30 |
2004-09-28 |
Tokyo Electron Limited |
Method and apparatus for an improved optical window deposition shield in a plasma processing system
|
US7137353B2
(en)
*
|
2002-09-30 |
2006-11-21 |
Tokyo Electron Limited |
Method and apparatus for an improved deposition shield in a plasma processing system
|
US7166166B2
(en)
|
2002-09-30 |
2007-01-23 |
Tokyo Electron Limited |
Method and apparatus for an improved baffle plate in a plasma processing system
|
US6837966B2
(en)
*
|
2002-09-30 |
2005-01-04 |
Tokyo Electron Limeted |
Method and apparatus for an improved baffle plate in a plasma processing system
|
US7166200B2
(en)
*
|
2002-09-30 |
2007-01-23 |
Tokyo Electron Limited |
Method and apparatus for an improved upper electrode plate in a plasma processing system
|
US7147749B2
(en)
*
|
2002-09-30 |
2006-12-12 |
Tokyo Electron Limited |
Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
|
US6821563B2
(en)
|
2002-10-02 |
2004-11-23 |
Applied Materials, Inc. |
Gas distribution system for cyclical layer deposition
|
US20040065255A1
(en)
*
|
2002-10-02 |
2004-04-08 |
Applied Materials, Inc. |
Cyclical layer deposition system
|
DE10247051A1
(de)
*
|
2002-10-09 |
2004-04-22 |
Polymer Latex Gmbh & Co Kg |
Latex und Verfahren zu seiner Herstellung
|
US7628897B2
(en)
*
|
2002-10-23 |
2009-12-08 |
Applied Materials, Inc. |
Reactive ion etching for semiconductor device feature topography modification
|
US6802944B2
(en)
*
|
2002-10-23 |
2004-10-12 |
Applied Materials, Inc. |
High density plasma CVD process for gapfill into high aspect ratio features
|
US6797643B2
(en)
*
|
2002-10-23 |
2004-09-28 |
Applied Materials Inc. |
Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
|
US6932092B2
(en)
*
|
2002-11-22 |
2005-08-23 |
Applied Materials, Inc. |
Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
|
US20050170314A1
(en)
*
|
2002-11-27 |
2005-08-04 |
Richard Golden |
Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
|
CN1249789C
(zh)
*
|
2002-11-28 |
2006-04-05 |
东京毅力科创株式会社 |
等离子体处理容器内部件
|
KR100491396B1
(ko)
*
|
2002-12-03 |
2005-05-25 |
삼성전자주식회사 |
반도체 소자의 피이-테오스(pe-teos)막 형성 방법
|
US7097886B2
(en)
*
|
2002-12-13 |
2006-08-29 |
Applied Materials, Inc. |
Deposition process for high aspect ratio trenches
|
US7270713B2
(en)
*
|
2003-01-07 |
2007-09-18 |
Applied Materials, Inc. |
Tunable gas distribution plate assembly
|
US7262133B2
(en)
|
2003-01-07 |
2007-08-28 |
Applied Materials, Inc. |
Enhancement of copper line reliability using thin ALD tan film to cap the copper line
|
US6808748B2
(en)
*
|
2003-01-23 |
2004-10-26 |
Applied Materials, Inc. |
Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
|
US6897163B2
(en)
|
2003-01-31 |
2005-05-24 |
Applied Materials, Inc. |
Method for depositing a low dielectric constant film
|
CN101457338B
(zh)
*
|
2003-02-14 |
2011-04-27 |
应用材料股份有限公司 |
利用含氢自由基清洁自生氧化物的方法和设备
|
US6759297B1
(en)
|
2003-02-28 |
2004-07-06 |
Union Semiconductor Technology Corporatin |
Low temperature deposition of dielectric materials in magnetoresistive random access memory devices
|
JP2006523384A
(ja)
*
|
2003-03-05 |
2006-10-12 |
ダフ, ウィリアム ビー. ジュニア |
電力特性を強化した電荷保存デバイス
|
US7009281B2
(en)
*
|
2003-03-14 |
2006-03-07 |
Lam Corporation |
Small volume process chamber with hot inner surfaces
|
US7877161B2
(en)
|
2003-03-17 |
2011-01-25 |
Tokyo Electron Limited |
Method and system for performing a chemical oxide removal process
|
US7291566B2
(en)
*
|
2003-03-31 |
2007-11-06 |
Tokyo Electron Limited |
Barrier layer for a processing element and a method of forming the same
|
US7560376B2
(en)
|
2003-03-31 |
2009-07-14 |
Tokyo Electron Limited |
Method for adjoining adjacent coatings on a processing element
|
US7031600B2
(en)
*
|
2003-04-07 |
2006-04-18 |
Applied Materials, Inc. |
Method and apparatus for silicon oxide deposition on large area substrates
|
US7037376B2
(en)
*
|
2003-04-11 |
2006-05-02 |
Applied Materials Inc. |
Backflush chamber clean
|
US6942753B2
(en)
*
|
2003-04-16 |
2005-09-13 |
Applied Materials, Inc. |
Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
|
US7045014B2
(en)
*
|
2003-04-24 |
2006-05-16 |
Applied Materials, Inc. |
Substrate support assembly
|
US6830624B2
(en)
*
|
2003-05-02 |
2004-12-14 |
Applied Materials, Inc. |
Blocker plate by-pass for remote plasma clean
|
US20040224524A1
(en)
*
|
2003-05-09 |
2004-11-11 |
Applied Materials, Inc. |
Maintaining the dimensions of features being etched on a lithographic mask
|
KR100511914B1
(ko)
*
|
2003-05-09 |
2005-09-02 |
주식회사 하이닉스반도체 |
피이사이클 시브이디법을 이용한 반도체소자의 제조방법
|
US7081414B2
(en)
*
|
2003-05-23 |
2006-07-25 |
Applied Materials, Inc. |
Deposition-selective etch-deposition process for dielectric film gapfill
|
US6958112B2
(en)
*
|
2003-05-27 |
2005-10-25 |
Applied Materials, Inc. |
Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
|
US7205240B2
(en)
|
2003-06-04 |
2007-04-17 |
Applied Materials, Inc. |
HDP-CVD multistep gapfill process
|
US20040253378A1
(en)
*
|
2003-06-12 |
2004-12-16 |
Applied Materials, Inc. |
Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
|
KR20060079144A
(ko)
*
|
2003-06-18 |
2006-07-05 |
어플라이드 머티어리얼스, 인코포레이티드 |
배리어 물질의 원자층 증착
|
US7100954B2
(en)
*
|
2003-07-11 |
2006-09-05 |
Nexx Systems, Inc. |
Ultra-thin wafer handling system
|
JP2005064284A
(ja)
*
|
2003-08-14 |
2005-03-10 |
Asm Japan Kk |
半導体基板保持装置
|
US20050037153A1
(en)
*
|
2003-08-14 |
2005-02-17 |
Applied Materials, Inc. |
Stress reduction of sioc low k films
|
DE10339988B4
(de)
|
2003-08-29 |
2008-06-12 |
Advanced Micro Devices, Inc., Sunnyvale |
Verfahren zur Herstellung einer antireflektierenden Schicht
|
US6903031B2
(en)
*
|
2003-09-03 |
2005-06-07 |
Applied Materials, Inc. |
In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
|
US20050109280A1
(en)
*
|
2003-09-22 |
2005-05-26 |
Chen Xiangqun S. |
Rapid thermal chemical vapor deposition apparatus and method
|
US7109087B2
(en)
*
|
2003-10-03 |
2006-09-19 |
Applied Materials, Inc. |
Absorber layer for DSA processing
|
WO2005036627A1
(en)
*
|
2003-10-03 |
2005-04-21 |
Applied Materials, Inc. |
Absorber layer for dynamic surface annealing processing
|
US7408225B2
(en)
*
|
2003-10-09 |
2008-08-05 |
Asm Japan K.K. |
Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
|
KR100634288B1
(ko)
*
|
2003-12-01 |
2006-10-16 |
야스히로 모리 |
고체물질의 표면 개질방법 및 표면 개질된 고체물질
|
US20050136684A1
(en)
*
|
2003-12-23 |
2005-06-23 |
Applied Materials, Inc. |
Gap-fill techniques
|
US20050230350A1
(en)
*
|
2004-02-26 |
2005-10-20 |
Applied Materials, Inc. |
In-situ dry clean chamber for front end of line fabrication
|
US20060051966A1
(en)
*
|
2004-02-26 |
2006-03-09 |
Applied Materials, Inc. |
In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
|
US7780793B2
(en)
*
|
2004-02-26 |
2010-08-24 |
Applied Materials, Inc. |
Passivation layer formation by plasma clean process to reduce native oxide growth
|
US7087497B2
(en)
*
|
2004-03-04 |
2006-08-08 |
Applied Materials |
Low-thermal-budget gapfill process
|
US7431772B2
(en)
*
|
2004-03-09 |
2008-10-07 |
Applied Materials, Inc. |
Gas distributor having directed gas flow and cleaning method
|
US7030041B2
(en)
*
|
2004-03-15 |
2006-04-18 |
Applied Materials Inc. |
Adhesion improvement for low k dielectrics
|
US20050214457A1
(en)
*
|
2004-03-29 |
2005-09-29 |
Applied Materials, Inc. |
Deposition of low dielectric constant films by N2O addition
|
US7611996B2
(en)
*
|
2004-03-31 |
2009-11-03 |
Applied Materials, Inc. |
Multi-stage curing of low K nano-porous films
|
US7547643B2
(en)
|
2004-03-31 |
2009-06-16 |
Applied Materials, Inc. |
Techniques promoting adhesion of porous low K film to underlying barrier layer
|
US7585371B2
(en)
*
|
2004-04-08 |
2009-09-08 |
Micron Technology, Inc. |
Substrate susceptors for receiving semiconductor substrates to be deposited upon
|
US20050227502A1
(en)
*
|
2004-04-12 |
2005-10-13 |
Applied Materials, Inc. |
Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
|
US7229911B2
(en)
*
|
2004-04-19 |
2007-06-12 |
Applied Materials, Inc. |
Adhesion improvement for low k dielectrics to conductive materials
|
US20050233555A1
(en)
*
|
2004-04-19 |
2005-10-20 |
Nagarajan Rajagopalan |
Adhesion improvement for low k dielectrics to conductive materials
|
US8083853B2
(en)
*
|
2004-05-12 |
2011-12-27 |
Applied Materials, Inc. |
Plasma uniformity control by gas diffuser hole design
|
US7112541B2
(en)
*
|
2004-05-06 |
2006-09-26 |
Applied Materials, Inc. |
In-situ oxide capping after CVD low k deposition
|
US8074599B2
(en)
*
|
2004-05-12 |
2011-12-13 |
Applied Materials, Inc. |
Plasma uniformity control by gas diffuser curvature
|
US20060005771A1
(en)
*
|
2004-07-12 |
2006-01-12 |
Applied Materials, Inc. |
Apparatus and method of shaping profiles of large-area PECVD electrodes
|
US8328939B2
(en)
*
|
2004-05-12 |
2012-12-11 |
Applied Materials, Inc. |
Diffuser plate with slit valve compensation
|
US20050260356A1
(en)
*
|
2004-05-18 |
2005-11-24 |
Applied Materials, Inc. |
Microcontamination abatement in semiconductor processing
|
US8119210B2
(en)
*
|
2004-05-21 |
2012-02-21 |
Applied Materials, Inc. |
Formation of a silicon oxynitride layer on a high-k dielectric material
|
ES2380699T3
(es)
*
|
2004-06-08 |
2012-05-17 |
Dichroic Cell S.R.L. |
Sistema para la deposición química en fase de vapor asistida por plasma de baja energía
|
US7229931B2
(en)
*
|
2004-06-16 |
2007-06-12 |
Applied Materials, Inc. |
Oxygen plasma treatment for enhanced HDP-CVD gapfill
|
US20060286807A1
(en)
*
|
2005-06-16 |
2006-12-21 |
Jack Hwang |
Use of active temperature control to provide emmisivity independent wafer temperature
|
US7183227B1
(en)
|
2004-07-01 |
2007-02-27 |
Applied Materials, Inc. |
Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
|
US7879409B2
(en)
*
|
2004-07-23 |
2011-02-01 |
Applied Materials, Inc. |
Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
|
US20060021703A1
(en)
*
|
2004-07-29 |
2006-02-02 |
Applied Materials, Inc. |
Dual gas faceplate for a showerhead in a semiconductor wafer processing system
|
US7259381B2
(en)
*
|
2004-08-03 |
2007-08-21 |
Applied Materials, Inc. |
Methodology for determining electron beam penetration depth
|
KR20060012703A
(ko)
*
|
2004-08-04 |
2006-02-09 |
주식회사 유진테크 |
반도체 기판상에 싱글 챔버식 화학 기상 증착를 이용한 열산화막 증착장치 및 그 증착방법
|
US7422776B2
(en)
*
|
2004-08-24 |
2008-09-09 |
Applied Materials, Inc. |
Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
|
US7172969B2
(en)
*
|
2004-08-26 |
2007-02-06 |
Tokyo Electron Limited |
Method and system for etching a film stack
|
US7087536B2
(en)
*
|
2004-09-01 |
2006-08-08 |
Applied Materials |
Silicon oxide gapfill deposition using liquid precursors
|
US20060054090A1
(en)
*
|
2004-09-15 |
2006-03-16 |
Applied Materials, Inc. |
PECVD susceptor support construction
|
TWI287279B
(en)
*
|
2004-09-20 |
2007-09-21 |
Applied Materials Inc |
Diffuser gravity support
|
US7429410B2
(en)
*
|
2004-09-20 |
2008-09-30 |
Applied Materials, Inc. |
Diffuser gravity support
|
US7387811B2
(en)
*
|
2004-09-21 |
2008-06-17 |
Superpower, Inc. |
Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
|
JP4435666B2
(ja)
*
|
2004-11-09 |
2010-03-24 |
東京エレクトロン株式会社 |
プラズマ処理方法、成膜方法
|
US20060105114A1
(en)
*
|
2004-11-16 |
2006-05-18 |
White John M |
Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
|
US7402520B2
(en)
*
|
2004-11-26 |
2008-07-22 |
Applied Materials, Inc. |
Edge removal of silicon-on-insulator transfer wafer
|
US7552521B2
(en)
*
|
2004-12-08 |
2009-06-30 |
Tokyo Electron Limited |
Method and apparatus for improved baffle plate
|
US7306983B2
(en)
*
|
2004-12-10 |
2007-12-11 |
International Business Machines Corporation |
Method for forming dual etch stop liner and protective layer in a semiconductor device
|
US20060130971A1
(en)
*
|
2004-12-21 |
2006-06-22 |
Applied Materials, Inc. |
Apparatus for generating plasma by RF power
|
US20060154494A1
(en)
*
|
2005-01-08 |
2006-07-13 |
Applied Materials, Inc., A Delaware Corporation |
High-throughput HDP-CVD processes for advanced gapfill applications
|
US7601242B2
(en)
*
|
2005-01-11 |
2009-10-13 |
Tokyo Electron Limited |
Plasma processing system and baffle assembly for use in plasma processing system
|
US7501354B2
(en)
*
|
2005-01-18 |
2009-03-10 |
Applied Materials, Inc. |
Formation of low K material utilizing process having readily cleaned by-products
|
US20060162661A1
(en)
*
|
2005-01-22 |
2006-07-27 |
Applied Materials, Inc. |
Mixing energized and non-energized gases for silicon nitride deposition
|
US8241708B2
(en)
*
|
2005-03-09 |
2012-08-14 |
Micron Technology, Inc. |
Formation of insulator oxide films with acid or base catalyzed hydrolysis of alkoxides in supercritical carbon dioxide
|
US20060225654A1
(en)
*
|
2005-03-29 |
2006-10-12 |
Fink Steven T |
Disposable plasma reactor materials and methods
|
US20060228889A1
(en)
*
|
2005-03-31 |
2006-10-12 |
Edelberg Erik A |
Methods of removing resist from substrates in resist stripping chambers
|
US8137465B1
(en)
|
2005-04-26 |
2012-03-20 |
Novellus Systems, Inc. |
Single-chamber sequential curing of semiconductor wafers
|
US8454750B1
(en)
|
2005-04-26 |
2013-06-04 |
Novellus Systems, Inc. |
Multi-station sequential curing of dielectric films
|
US8980769B1
(en)
|
2005-04-26 |
2015-03-17 |
Novellus Systems, Inc. |
Multi-station sequential curing of dielectric films
|
US8282768B1
(en)
|
2005-04-26 |
2012-10-09 |
Novellus Systems, Inc. |
Purging of porogen from UV cure chamber
|
US7273823B2
(en)
*
|
2005-06-03 |
2007-09-25 |
Applied Materials, Inc. |
Situ oxide cap layer development
|
WO2006133730A1
(en)
*
|
2005-06-16 |
2006-12-21 |
Innovative Systems & Technologies |
Method for producing coated polymer
|
US7329586B2
(en)
*
|
2005-06-24 |
2008-02-12 |
Applied Materials, Inc. |
Gapfill using deposition-etch sequence
|
US20070012557A1
(en)
*
|
2005-07-13 |
2007-01-18 |
Applied Materials, Inc |
Low voltage sputtering for large area substrates
|
US7550381B2
(en)
*
|
2005-07-18 |
2009-06-23 |
Applied Materials, Inc. |
Contact clean by remote plasma and repair of silicide surface
|
US8398816B1
(en)
|
2006-03-28 |
2013-03-19 |
Novellus Systems, Inc. |
Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
|
US20070134435A1
(en)
*
|
2005-12-13 |
2007-06-14 |
Ahn Sang H |
Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
|
US7371695B2
(en)
*
|
2006-01-04 |
2008-05-13 |
Promos Technologies Pte. Ltd. |
Use of TEOS oxides in integrated circuit fabrication processes
|
US7547598B2
(en)
*
|
2006-01-09 |
2009-06-16 |
Hynix Semiconductor Inc. |
Method for fabricating capacitor in semiconductor device
|
JP4807619B2
(ja)
*
|
2006-03-06 |
2011-11-02 |
株式会社島津製作所 |
真空装置の加熱機構
|
US7678710B2
(en)
*
|
2006-03-09 |
2010-03-16 |
Applied Materials, Inc. |
Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
|
US7837838B2
(en)
*
|
2006-03-09 |
2010-11-23 |
Applied Materials, Inc. |
Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
|
US7645710B2
(en)
*
|
2006-03-09 |
2010-01-12 |
Applied Materials, Inc. |
Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
|
US20070240644A1
(en)
*
|
2006-03-24 |
2007-10-18 |
Hiroyuki Matsuura |
Vertical plasma processing apparatus for semiconductor process
|
JP2007266347A
(ja)
*
|
2006-03-29 |
2007-10-11 |
Renesas Technology Corp |
半導体装置の製造方法
|
US7923376B1
(en)
*
|
2006-03-30 |
2011-04-12 |
Novellus Systems, Inc. |
Method of reducing defects in PECVD TEOS films
|
US7967911B2
(en)
*
|
2006-04-11 |
2011-06-28 |
Applied Materials, Inc. |
Apparatus and methods for chemical vapor deposition
|
US7524750B2
(en)
|
2006-04-17 |
2009-04-28 |
Applied Materials, Inc. |
Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
|
US20070243714A1
(en)
*
|
2006-04-18 |
2007-10-18 |
Applied Materials, Inc. |
Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
|
US20070264443A1
(en)
*
|
2006-05-09 |
2007-11-15 |
Applied Materials, Inc. |
Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
|
US7297376B1
(en)
|
2006-07-07 |
2007-11-20 |
Applied Materials, Inc. |
Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
|
WO2008039845A2
(en)
*
|
2006-09-26 |
2008-04-03 |
Applied Materials, Inc. |
Fluorine plasma treatment of high-k gate stack for defect passivation
|
US20080099147A1
(en)
*
|
2006-10-26 |
2008-05-01 |
Nyi Oo Myo |
Temperature controlled multi-gas distribution assembly
|
US7775508B2
(en)
*
|
2006-10-31 |
2010-08-17 |
Applied Materials, Inc. |
Ampoule for liquid draw and vapor draw with a continuous level sensor
|
US7704894B1
(en)
|
2006-11-20 |
2010-04-27 |
Novellus Systems, Inc. |
Method of eliminating small bin defects in high throughput TEOS films
|
US20080142483A1
(en)
*
|
2006-12-07 |
2008-06-19 |
Applied Materials, Inc. |
Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
|
US7939422B2
(en)
*
|
2006-12-07 |
2011-05-10 |
Applied Materials, Inc. |
Methods of thin film process
|
US20080206987A1
(en)
*
|
2007-01-29 |
2008-08-28 |
Gelatos Avgerinos V |
Process for tungsten nitride deposition by a temperature controlled lid assembly
|
US8444926B2
(en)
*
|
2007-01-30 |
2013-05-21 |
Applied Materials, Inc. |
Processing chamber with heated chamber liner
|
US20080190364A1
(en)
*
|
2007-02-13 |
2008-08-14 |
Applied Materials, Inc. |
Substrate support assembly
|
US7884021B2
(en)
*
|
2007-02-27 |
2011-02-08 |
Spartial Photonics, Inc. |
Planarization of a layer over a cavity
|
US7541288B2
(en)
*
|
2007-03-08 |
2009-06-02 |
Samsung Electronics Co., Ltd. |
Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques
|
KR100845941B1
(ko)
*
|
2007-03-27 |
2008-07-14 |
성균관대학교산학협력단 |
저유전 상수값을 갖는 박막 제조 방법 및 이에 의하여제조된 박막
|
US20080317973A1
(en)
*
|
2007-06-22 |
2008-12-25 |
White John M |
Diffuser support
|
WO2009009607A1
(en)
*
|
2007-07-12 |
2009-01-15 |
Applied Materials, Inc. |
Apparatus and method for processing a substrate edge region
|
US20090056743A1
(en)
*
|
2007-08-31 |
2009-03-05 |
Soo Young Choi |
Method of cleaning plasma enhanced chemical vapor deposition chamber
|
JP5683063B2
(ja)
*
|
2007-09-05 |
2015-03-11 |
アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated |
窒化アルミニウム又は酸化ベリリウムのセラミックカバーウェハ
|
US20090095222A1
(en)
*
|
2007-10-16 |
2009-04-16 |
Alexander Tam |
Multi-gas spiral channel showerhead
|
KR100962044B1
(ko)
|
2007-12-06 |
2010-06-08 |
성균관대학교산학협력단 |
저유전 플라즈마 중합체 박막 및 그 제조 방법
|
US8426778B1
(en)
|
2007-12-10 |
2013-04-23 |
Novellus Systems, Inc. |
Tunable-illumination reflector optics for UV cure system
|
US7678715B2
(en)
*
|
2007-12-21 |
2010-03-16 |
Applied Materials, Inc. |
Low wet etch rate silicon nitride film
|
US7935940B1
(en)
|
2008-01-08 |
2011-05-03 |
Novellus Systems, Inc. |
Measuring in-situ UV intensity in UV cure tool
|
US8283644B2
(en)
|
2008-01-08 |
2012-10-09 |
Novellus Systems, Inc. |
Measuring in-situ UV intensity in UV cure tool
|
US20090188624A1
(en)
*
|
2008-01-25 |
2009-07-30 |
Applied Materials, Inc. |
Method and apparatus for enhancing flow uniformity in a process chamber
|
US8097082B2
(en)
*
|
2008-04-28 |
2012-01-17 |
Applied Materials, Inc. |
Nonplanar faceplate for a plasma processing chamber
|
DE102008026974A1
(de)
*
|
2008-06-03 |
2009-12-10 |
Aixtron Ag |
Verfahren und Vorrichtung zum Abscheiden dünner Schichten aus polymeren Para-Xylylene oder substituiertem Para-Xylylene
|
JP5314134B2
(ja)
*
|
2008-06-30 |
2013-10-16 |
ソイテック |
モジュール式化学気相成長(cvd)反応器サブシステム及びその形成方法並びに独立機能モジュール
|
KR20110050422A
(ko)
*
|
2008-07-08 |
2011-05-13 |
쌘디스크 3디 엘엘씨 |
탄소계 저항률 스위칭 물질과 이를 형성하는 방법
|
CN101351076B
(zh)
*
|
2008-09-16 |
2011-08-17 |
北京北方微电子基地设备工艺研究中心有限责任公司 |
等离子体处理设备
|
US7967913B2
(en)
*
|
2008-10-22 |
2011-06-28 |
Applied Materials, Inc. |
Remote plasma clean process with cycled high and low pressure clean steps
|
US8146896B2
(en)
*
|
2008-10-31 |
2012-04-03 |
Applied Materials, Inc. |
Chemical precursor ampoule for vapor deposition processes
|
US8801857B2
(en)
*
|
2008-10-31 |
2014-08-12 |
Asm America, Inc. |
Self-centering susceptor ring assembly
|
US8869741B2
(en)
*
|
2008-12-19 |
2014-10-28 |
Lam Research Corporation |
Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
|
JP5268626B2
(ja)
*
|
2008-12-26 |
2013-08-21 |
株式会社日立ハイテクノロジーズ |
プラズマ処理装置
|
US20100270262A1
(en)
*
|
2009-04-22 |
2010-10-28 |
Applied Materials, Inc. |
Etching low-k dielectric or removing resist with a filtered ionized gas
|
JP5698950B2
(ja)
|
2009-10-23 |
2015-04-08 |
株式会社半導体エネルギー研究所 |
半導体装置の作製方法
|
KR101105508B1
(ko)
*
|
2009-12-30 |
2012-01-13 |
주식회사 하이닉스반도체 |
반도체 메모리 소자의 제조 방법
|
US8349746B2
(en)
*
|
2010-02-23 |
2013-01-08 |
Applied Materials, Inc. |
Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
|
US20120058281A1
(en)
*
|
2010-03-12 |
2012-03-08 |
Applied Materials, Inc. |
Methods for forming low moisture dielectric films
|
US9324576B2
(en)
|
2010-05-27 |
2016-04-26 |
Applied Materials, Inc. |
Selective etch for silicon films
|
US8859393B2
(en)
*
|
2010-06-30 |
2014-10-14 |
Sunedison Semiconductor Limited |
Methods for in-situ passivation of silicon-on-insulator wafers
|
US20120015113A1
(en)
*
|
2010-07-13 |
2012-01-19 |
Applied Materials, Inc. |
Methods for forming low stress dielectric films
|
US8460466B2
(en)
*
|
2010-08-02 |
2013-06-11 |
Veeco Instruments Inc. |
Exhaust for CVD reactor
|
US8741778B2
(en)
|
2010-12-14 |
2014-06-03 |
Applied Materials, Inc. |
Uniform dry etch in two stages
|
EP2659026B1
(de)
|
2010-12-30 |
2015-06-17 |
Veeco Instruments Inc. |
Waferverarbeitung mit trägererweiterung
|
US10283321B2
(en)
|
2011-01-18 |
2019-05-07 |
Applied Materials, Inc. |
Semiconductor processing system and methods using capacitively coupled plasma
|
US8771539B2
(en)
|
2011-02-22 |
2014-07-08 |
Applied Materials, Inc. |
Remotely-excited fluorine and water vapor etch
|
US8999856B2
(en)
|
2011-03-14 |
2015-04-07 |
Applied Materials, Inc. |
Methods for etch of sin films
|
US9064815B2
(en)
|
2011-03-14 |
2015-06-23 |
Applied Materials, Inc. |
Methods for etch of metal and metal-oxide films
|
EP2527824B1
(de)
*
|
2011-05-27 |
2016-05-04 |
ams international AG |
Integrierte Schaltung mit Feuchtigkeitssensor und Verfahren zur Herstellung solch einer integrierten Schaltung
|
JP6097742B2
(ja)
*
|
2011-05-27 |
2017-03-15 |
クリスタル・ソーラー・インコーポレーテッド |
エピタキシャル堆積によるシリコンウェハ
|
US8828878B2
(en)
|
2011-06-01 |
2014-09-09 |
United Microelectronics Corp. |
Manufacturing method for dual damascene structure
|
US8399359B2
(en)
|
2011-06-01 |
2013-03-19 |
United Microelectronics Corp. |
Manufacturing method for dual damascene structure
|
US20140116339A1
(en)
*
|
2011-06-11 |
2014-05-01 |
Tokyo Electron Limited |
Process gas diffuser assembly for vapor deposition system
|
US8497211B2
(en)
|
2011-06-24 |
2013-07-30 |
Applied Materials, Inc. |
Integrated process modulation for PSG gapfill
|
US8771536B2
(en)
|
2011-08-01 |
2014-07-08 |
Applied Materials, Inc. |
Dry-etch for silicon-and-carbon-containing films
|
US8679982B2
(en)
|
2011-08-26 |
2014-03-25 |
Applied Materials, Inc. |
Selective suppression of dry-etch rate of materials containing both silicon and oxygen
|
US8679983B2
(en)
|
2011-09-01 |
2014-03-25 |
Applied Materials, Inc. |
Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
|
DE102011113293A1
(de)
*
|
2011-09-05 |
2013-03-07 |
Schmid Vacuum Technology Gmbh |
Vakuumbeschichtungsvorrichtung
|
DE102011113294A1
(de)
*
|
2011-09-05 |
2013-03-07 |
Schmid Vacuum Technology Gmbh |
Vakuumbeschichtungsvorrichtung
|
US8927390B2
(en)
|
2011-09-26 |
2015-01-06 |
Applied Materials, Inc. |
Intrench profile
|
US8808563B2
(en)
|
2011-10-07 |
2014-08-19 |
Applied Materials, Inc. |
Selective etch of silicon by way of metastable hydrogen termination
|
CN102456566B
(zh)
*
|
2011-10-12 |
2016-03-30 |
上海华力微电子有限公司 |
一种低温二氧化硅的处理方法
|
US9109754B2
(en)
|
2011-10-19 |
2015-08-18 |
Applied Materials, Inc. |
Apparatus and method for providing uniform flow of gas
|
WO2013070436A1
(en)
|
2011-11-08 |
2013-05-16 |
Applied Materials, Inc. |
Methods of reducing substrate dislocation during gapfill processing
|
JP5964626B2
(ja)
*
|
2012-03-22 |
2016-08-03 |
株式会社Screenホールディングス |
熱処理装置
|
CN102605346A
(zh)
*
|
2012-03-31 |
2012-07-25 |
上海华力微电子有限公司 |
一种mim型电容中绝缘体二氧化硅薄膜的制备方法
|
US8735295B2
(en)
|
2012-06-19 |
2014-05-27 |
United Microelectronics Corp. |
Method of manufacturing dual damascene structure
|
US9267739B2
(en)
|
2012-07-18 |
2016-02-23 |
Applied Materials, Inc. |
Pedestal with multi-zone temperature control and multiple purge capabilities
|
US10541183B2
(en)
*
|
2012-07-19 |
2020-01-21 |
Texas Instruments Incorporated |
Spectral reflectometry window heater
|
US8647991B1
(en)
|
2012-07-30 |
2014-02-11 |
United Microelectronics Corp. |
Method for forming dual damascene opening
|
US9373517B2
(en)
|
2012-08-02 |
2016-06-21 |
Applied Materials, Inc. |
Semiconductor processing with DC assisted RF power for improved control
|
KR20140026724A
(ko)
*
|
2012-08-23 |
2014-03-06 |
주식회사 원익아이피에스 |
박막 제조 방법 및 기판 처리 장치
|
US9034770B2
(en)
|
2012-09-17 |
2015-05-19 |
Applied Materials, Inc. |
Differential silicon oxide etch
|
US9023734B2
(en)
|
2012-09-18 |
2015-05-05 |
Applied Materials, Inc. |
Radical-component oxide etch
|
CN102828172A
(zh)
*
|
2012-09-18 |
2012-12-19 |
大连交通大学 |
一种PECVD法制备SiO2薄膜的方法
|
US9390937B2
(en)
|
2012-09-20 |
2016-07-12 |
Applied Materials, Inc. |
Silicon-carbon-nitride selective etch
|
US9132436B2
(en)
|
2012-09-21 |
2015-09-15 |
Applied Materials, Inc. |
Chemical control features in wafer process equipment
|
TWI480417B
(zh)
|
2012-11-02 |
2015-04-11 |
Ind Tech Res Inst |
具氣幕之氣體噴灑裝置及其薄膜沉積裝置
|
US8765574B2
(en)
|
2012-11-09 |
2014-07-01 |
Applied Materials, Inc. |
Dry etch process
|
US8969212B2
(en)
|
2012-11-20 |
2015-03-03 |
Applied Materials, Inc. |
Dry-etch selectivity
|
US8980763B2
(en)
|
2012-11-30 |
2015-03-17 |
Applied Materials, Inc. |
Dry-etch for selective tungsten removal
|
US9064816B2
(en)
|
2012-11-30 |
2015-06-23 |
Applied Materials, Inc. |
Dry-etch for selective oxidation removal
|
US9111877B2
(en)
|
2012-12-18 |
2015-08-18 |
Applied Materials, Inc. |
Non-local plasma oxide etch
|
US8921234B2
(en)
|
2012-12-21 |
2014-12-30 |
Applied Materials, Inc. |
Selective titanium nitride etching
|
US9388493B2
(en)
|
2013-01-08 |
2016-07-12 |
Veeco Instruments Inc. |
Self-cleaning shutter for CVD reactor
|
US8921226B2
(en)
|
2013-01-14 |
2014-12-30 |
United Microelectronics Corp. |
Method of forming semiconductor structure having contact plug
|
US9018108B2
(en)
|
2013-01-25 |
2015-04-28 |
Applied Materials, Inc. |
Low shrinkage dielectric films
|
US10256079B2
(en)
|
2013-02-08 |
2019-04-09 |
Applied Materials, Inc. |
Semiconductor processing systems having multiple plasma configurations
|
US9362130B2
(en)
|
2013-03-01 |
2016-06-07 |
Applied Materials, Inc. |
Enhanced etching processes using remote plasma sources
|
US9040422B2
(en)
|
2013-03-05 |
2015-05-26 |
Applied Materials, Inc. |
Selective titanium nitride removal
|
US8801952B1
(en)
|
2013-03-07 |
2014-08-12 |
Applied Materials, Inc. |
Conformal oxide dry etch
|
US10170282B2
(en)
|
2013-03-08 |
2019-01-01 |
Applied Materials, Inc. |
Insulated semiconductor faceplate designs
|
WO2014163742A1
(en)
|
2013-03-12 |
2014-10-09 |
Applied Materials, Inc. |
Multi-zone gas injection assembly with azimuthal and radial distribution control
|
US20140264557A1
(en)
*
|
2013-03-15 |
2014-09-18 |
International Business Machines Corporation |
Self-aligned approach for drain diffusion in field effect transistors
|
US20140271097A1
(en)
|
2013-03-15 |
2014-09-18 |
Applied Materials, Inc. |
Processing systems and methods for halide scavenging
|
JP6007143B2
(ja)
*
|
2013-03-26 |
2016-10-12 |
東京エレクトロン株式会社 |
シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
|
US8895449B1
(en)
|
2013-05-16 |
2014-11-25 |
Applied Materials, Inc. |
Delicate dry clean
|
US9114438B2
(en)
|
2013-05-21 |
2015-08-25 |
Applied Materials, Inc. |
Copper residue chamber clean
|
US9493879B2
(en)
|
2013-07-12 |
2016-11-15 |
Applied Materials, Inc. |
Selective sputtering for pattern transfer
|
US9773648B2
(en)
|
2013-08-30 |
2017-09-26 |
Applied Materials, Inc. |
Dual discharge modes operation for remote plasma
|
US8956980B1
(en)
|
2013-09-16 |
2015-02-17 |
Applied Materials, Inc. |
Selective etch of silicon nitride
|
US8962490B1
(en)
|
2013-10-08 |
2015-02-24 |
United Microelectronics Corp. |
Method for fabricating semiconductor device
|
US8951429B1
(en)
|
2013-10-29 |
2015-02-10 |
Applied Materials, Inc. |
Tungsten oxide processing
|
US9236265B2
(en)
|
2013-11-04 |
2016-01-12 |
Applied Materials, Inc. |
Silicon germanium processing
|
US9576809B2
(en)
|
2013-11-04 |
2017-02-21 |
Applied Materials, Inc. |
Etch suppression with germanium
|
US20150128862A1
(en)
*
|
2013-11-11 |
2015-05-14 |
Xuesong Li |
Apparatus for processing a substrate
|
US9520303B2
(en)
|
2013-11-12 |
2016-12-13 |
Applied Materials, Inc. |
Aluminum selective etch
|
US9245762B2
(en)
|
2013-12-02 |
2016-01-26 |
Applied Materials, Inc. |
Procedure for etch rate consistency
|
US9117855B2
(en)
|
2013-12-04 |
2015-08-25 |
Applied Materials, Inc. |
Polarity control for remote plasma
|
US9287095B2
(en)
|
2013-12-17 |
2016-03-15 |
Applied Materials, Inc. |
Semiconductor system assemblies and methods of operation
|
US9263278B2
(en)
|
2013-12-17 |
2016-02-16 |
Applied Materials, Inc. |
Dopant etch selectivity control
|
US9190293B2
(en)
|
2013-12-18 |
2015-11-17 |
Applied Materials, Inc. |
Even tungsten etch for high aspect ratio trenches
|
US9287134B2
(en)
|
2014-01-17 |
2016-03-15 |
Applied Materials, Inc. |
Titanium oxide etch
|
US9328416B2
(en)
|
2014-01-17 |
2016-05-03 |
Lam Research Corporation |
Method for the reduction of defectivity in vapor deposited films
|
US9396989B2
(en)
|
2014-01-27 |
2016-07-19 |
Applied Materials, Inc. |
Air gaps between copper lines
|
US9293568B2
(en)
|
2014-01-27 |
2016-03-22 |
Applied Materials, Inc. |
Method of fin patterning
|
US9385028B2
(en)
|
2014-02-03 |
2016-07-05 |
Applied Materials, Inc. |
Air gap process
|
US9499898B2
(en)
|
2014-03-03 |
2016-11-22 |
Applied Materials, Inc. |
Layered thin film heater and method of fabrication
|
US9299575B2
(en)
|
2014-03-17 |
2016-03-29 |
Applied Materials, Inc. |
Gas-phase tungsten etch
|
US9299538B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9299537B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9136273B1
(en)
|
2014-03-21 |
2015-09-15 |
Applied Materials, Inc. |
Flash gate air gap
|
US9903020B2
(en)
|
2014-03-31 |
2018-02-27 |
Applied Materials, Inc. |
Generation of compact alumina passivation layers on aluminum plasma equipment components
|
US9269590B2
(en)
|
2014-04-07 |
2016-02-23 |
Applied Materials, Inc. |
Spacer formation
|
US9309598B2
(en)
|
2014-05-28 |
2016-04-12 |
Applied Materials, Inc. |
Oxide and metal removal
|
US9847289B2
(en)
|
2014-05-30 |
2017-12-19 |
Applied Materials, Inc. |
Protective via cap for improved interconnect performance
|
US9406523B2
(en)
|
2014-06-19 |
2016-08-02 |
Applied Materials, Inc. |
Highly selective doped oxide removal method
|
US9378969B2
(en)
|
2014-06-19 |
2016-06-28 |
Applied Materials, Inc. |
Low temperature gas-phase carbon removal
|
US9425058B2
(en)
|
2014-07-24 |
2016-08-23 |
Applied Materials, Inc. |
Simplified litho-etch-litho-etch process
|
US9159606B1
(en)
|
2014-07-31 |
2015-10-13 |
Applied Materials, Inc. |
Metal air gap
|
US9496167B2
(en)
|
2014-07-31 |
2016-11-15 |
Applied Materials, Inc. |
Integrated bit-line airgap formation and gate stack post clean
|
US9378978B2
(en)
|
2014-07-31 |
2016-06-28 |
Applied Materials, Inc. |
Integrated oxide recess and floating gate fin trimming
|
US9165786B1
(en)
|
2014-08-05 |
2015-10-20 |
Applied Materials, Inc. |
Integrated oxide and nitride recess for better channel contact in 3D architectures
|
US9659753B2
(en)
|
2014-08-07 |
2017-05-23 |
Applied Materials, Inc. |
Grooved insulator to reduce leakage current
|
US9553102B2
(en)
|
2014-08-19 |
2017-01-24 |
Applied Materials, Inc. |
Tungsten separation
|
US9355856B2
(en)
|
2014-09-12 |
2016-05-31 |
Applied Materials, Inc. |
V trench dry etch
|
US9368364B2
(en)
|
2014-09-24 |
2016-06-14 |
Applied Materials, Inc. |
Silicon etch process with tunable selectivity to SiO2 and other materials
|
US9478434B2
(en)
|
2014-09-24 |
2016-10-25 |
Applied Materials, Inc. |
Chlorine-based hardmask removal
|
US9613822B2
(en)
|
2014-09-25 |
2017-04-04 |
Applied Materials, Inc. |
Oxide etch selectivity enhancement
|
US9355922B2
(en)
|
2014-10-14 |
2016-05-31 |
Applied Materials, Inc. |
Systems and methods for internal surface conditioning in plasma processing equipment
|
US9966240B2
(en)
|
2014-10-14 |
2018-05-08 |
Applied Materials, Inc. |
Systems and methods for internal surface conditioning assessment in plasma processing equipment
|
US11637002B2
(en)
|
2014-11-26 |
2023-04-25 |
Applied Materials, Inc. |
Methods and systems to enhance process uniformity
|
US9299583B1
(en)
|
2014-12-05 |
2016-03-29 |
Applied Materials, Inc. |
Aluminum oxide selective etch
|
US10573496B2
(en)
|
2014-12-09 |
2020-02-25 |
Applied Materials, Inc. |
Direct outlet toroidal plasma source
|
US10224210B2
(en)
|
2014-12-09 |
2019-03-05 |
Applied Materials, Inc. |
Plasma processing system with direct outlet toroidal plasma source
|
US9502258B2
(en)
|
2014-12-23 |
2016-11-22 |
Applied Materials, Inc. |
Anisotropic gap etch
|
US9343272B1
(en)
|
2015-01-08 |
2016-05-17 |
Applied Materials, Inc. |
Self-aligned process
|
US11257693B2
(en)
|
2015-01-09 |
2022-02-22 |
Applied Materials, Inc. |
Methods and systems to improve pedestal temperature control
|
US9373522B1
(en)
|
2015-01-22 |
2016-06-21 |
Applied Mateials, Inc. |
Titanium nitride removal
|
US9449846B2
(en)
|
2015-01-28 |
2016-09-20 |
Applied Materials, Inc. |
Vertical gate separation
|
US20160225652A1
(en)
|
2015-02-03 |
2016-08-04 |
Applied Materials, Inc. |
Low temperature chuck for plasma processing systems
|
US9728437B2
(en)
|
2015-02-03 |
2017-08-08 |
Applied Materials, Inc. |
High temperature chuck for plasma processing systems
|
US9881805B2
(en)
|
2015-03-02 |
2018-01-30 |
Applied Materials, Inc. |
Silicon selective removal
|
TWI610361B
(zh)
|
2015-06-26 |
2018-01-01 |
東京威力科創股份有限公司 |
具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
|
US10580660B2
(en)
|
2015-06-26 |
2020-03-03 |
Tokyo Electron Limited |
Gas phase etching system and method
|
US9741593B2
(en)
|
2015-08-06 |
2017-08-22 |
Applied Materials, Inc. |
Thermal management systems and methods for wafer processing systems
|
US9691645B2
(en)
|
2015-08-06 |
2017-06-27 |
Applied Materials, Inc. |
Bolted wafer chuck thermal management systems and methods for wafer processing systems
|
US9349605B1
(en)
|
2015-08-07 |
2016-05-24 |
Applied Materials, Inc. |
Oxide etch selectivity systems and methods
|
US10504700B2
(en)
|
2015-08-27 |
2019-12-10 |
Applied Materials, Inc. |
Plasma etching systems and methods with secondary plasma injection
|
CN105256369A
(zh)
*
|
2015-10-20 |
2016-01-20 |
中国电子科技集团公司第四十八研究所 |
一种用于SiC外延的耐高温水平多层进气装置
|
US10388546B2
(en)
|
2015-11-16 |
2019-08-20 |
Lam Research Corporation |
Apparatus for UV flowable dielectric
|
US10522371B2
(en)
|
2016-05-19 |
2019-12-31 |
Applied Materials, Inc. |
Systems and methods for improved semiconductor etching and component protection
|
US10504754B2
(en)
|
2016-05-19 |
2019-12-10 |
Applied Materials, Inc. |
Systems and methods for improved semiconductor etching and component protection
|
US9865484B1
(en)
|
2016-06-29 |
2018-01-09 |
Applied Materials, Inc. |
Selective etch using material modification and RF pulsing
|
US10629473B2
(en)
|
2016-09-09 |
2020-04-21 |
Applied Materials, Inc. |
Footing removal for nitride spacer
|
US10062575B2
(en)
|
2016-09-09 |
2018-08-28 |
Applied Materials, Inc. |
Poly directional etch by oxidation
|
US10062585B2
(en)
|
2016-10-04 |
2018-08-28 |
Applied Materials, Inc. |
Oxygen compatible plasma source
|
US10546729B2
(en)
|
2016-10-04 |
2020-01-28 |
Applied Materials, Inc. |
Dual-channel showerhead with improved profile
|
FR3056993B1
(fr)
*
|
2016-10-04 |
2018-10-12 |
Kobus Sas |
Dispositif pour amener un gaz dans un reacteur de depot chimique en phase gazeuse
|
US9934942B1
(en)
|
2016-10-04 |
2018-04-03 |
Applied Materials, Inc. |
Chamber with flow-through source
|
US9721789B1
(en)
|
2016-10-04 |
2017-08-01 |
Applied Materials, Inc. |
Saving ion-damaged spacers
|
US10062579B2
(en)
|
2016-10-07 |
2018-08-28 |
Applied Materials, Inc. |
Selective SiN lateral recess
|
US9947549B1
(en)
|
2016-10-10 |
2018-04-17 |
Applied Materials, Inc. |
Cobalt-containing material removal
|
US10163696B2
(en)
|
2016-11-11 |
2018-12-25 |
Applied Materials, Inc. |
Selective cobalt removal for bottom up gapfill
|
US9768034B1
(en)
|
2016-11-11 |
2017-09-19 |
Applied Materials, Inc. |
Removal methods for high aspect ratio structures
|
US10026621B2
(en)
|
2016-11-14 |
2018-07-17 |
Applied Materials, Inc. |
SiN spacer profile patterning
|
US10242908B2
(en)
|
2016-11-14 |
2019-03-26 |
Applied Materials, Inc. |
Airgap formation with damage-free copper
|
US10566206B2
(en)
|
2016-12-27 |
2020-02-18 |
Applied Materials, Inc. |
Systems and methods for anisotropic material breakthrough
|
US10431429B2
(en)
|
2017-02-03 |
2019-10-01 |
Applied Materials, Inc. |
Systems and methods for radial and azimuthal control of plasma uniformity
|
US10403507B2
(en)
|
2017-02-03 |
2019-09-03 |
Applied Materials, Inc. |
Shaped etch profile with oxidation
|
US10043684B1
(en)
|
2017-02-06 |
2018-08-07 |
Applied Materials, Inc. |
Self-limiting atomic thermal etching systems and methods
|
US10319739B2
(en)
|
2017-02-08 |
2019-06-11 |
Applied Materials, Inc. |
Accommodating imperfectly aligned memory holes
|
US10943834B2
(en)
|
2017-03-13 |
2021-03-09 |
Applied Materials, Inc. |
Replacement contact process
|
US10319649B2
(en)
|
2017-04-11 |
2019-06-11 |
Applied Materials, Inc. |
Optical emission spectroscopy (OES) for remote plasma monitoring
|
US11276590B2
(en)
|
2017-05-17 |
2022-03-15 |
Applied Materials, Inc. |
Multi-zone semiconductor substrate supports
|
US11276559B2
(en)
|
2017-05-17 |
2022-03-15 |
Applied Materials, Inc. |
Semiconductor processing chamber for multiple precursor flow
|
US10497579B2
(en)
|
2017-05-31 |
2019-12-03 |
Applied Materials, Inc. |
Water-free etching methods
|
US10049891B1
(en)
|
2017-05-31 |
2018-08-14 |
Applied Materials, Inc. |
Selective in situ cobalt residue removal
|
US10920320B2
(en)
|
2017-06-16 |
2021-02-16 |
Applied Materials, Inc. |
Plasma health determination in semiconductor substrate processing reactors
|
US10541246B2
(en)
|
2017-06-26 |
2020-01-21 |
Applied Materials, Inc. |
3D flash memory cells which discourage cross-cell electrical tunneling
|
US10727080B2
(en)
|
2017-07-07 |
2020-07-28 |
Applied Materials, Inc. |
Tantalum-containing material removal
|
US10541184B2
(en)
|
2017-07-11 |
2020-01-21 |
Applied Materials, Inc. |
Optical emission spectroscopic techniques for monitoring etching
|
US10354889B2
(en)
|
2017-07-17 |
2019-07-16 |
Applied Materials, Inc. |
Non-halogen etching of silicon-containing materials
|
US10043674B1
(en)
|
2017-08-04 |
2018-08-07 |
Applied Materials, Inc. |
Germanium etching systems and methods
|
US10170336B1
(en)
|
2017-08-04 |
2019-01-01 |
Applied Materials, Inc. |
Methods for anisotropic control of selective silicon removal
|
US10297458B2
(en)
|
2017-08-07 |
2019-05-21 |
Applied Materials, Inc. |
Process window widening using coated parts in plasma etch processes
|
US10851457B2
(en)
*
|
2017-08-31 |
2020-12-01 |
Lam Research Corporation |
PECVD deposition system for deposition on selective side of the substrate
|
US10283324B1
(en)
|
2017-10-24 |
2019-05-07 |
Applied Materials, Inc. |
Oxygen treatment for nitride etching
|
US10128086B1
(en)
|
2017-10-24 |
2018-11-13 |
Applied Materials, Inc. |
Silicon pretreatment for nitride removal
|
US10256112B1
(en)
|
2017-12-08 |
2019-04-09 |
Applied Materials, Inc. |
Selective tungsten removal
|
US10903054B2
(en)
|
2017-12-19 |
2021-01-26 |
Applied Materials, Inc. |
Multi-zone gas distribution systems and methods
|
US11328909B2
(en)
|
2017-12-22 |
2022-05-10 |
Applied Materials, Inc. |
Chamber conditioning and removal processes
|
KR102527232B1
(ko)
|
2018-01-05 |
2023-05-02 |
삼성디스플레이 주식회사 |
표시 장치의 제조장치 및 표시 장치의 제조방법
|
US10854426B2
(en)
|
2018-01-08 |
2020-12-01 |
Applied Materials, Inc. |
Metal recess for semiconductor structures
|
US10964512B2
(en)
|
2018-02-15 |
2021-03-30 |
Applied Materials, Inc. |
Semiconductor processing chamber multistage mixing apparatus and methods
|
US10679870B2
(en)
|
2018-02-15 |
2020-06-09 |
Applied Materials, Inc. |
Semiconductor processing chamber multistage mixing apparatus
|
TWI766433B
(zh)
|
2018-02-28 |
2022-06-01 |
美商應用材料股份有限公司 |
形成氣隙的系統及方法
|
US10593560B2
(en)
|
2018-03-01 |
2020-03-17 |
Applied Materials, Inc. |
Magnetic induction plasma source for semiconductor processes and equipment
|
CN108388036B
(zh)
*
|
2018-03-05 |
2021-04-30 |
京东方科技集团股份有限公司 |
一种用于修复玻璃基板的材料、用于修复玻璃基板的方法和阵列基板的制造方法
|
US10319600B1
(en)
|
2018-03-12 |
2019-06-11 |
Applied Materials, Inc. |
Thermal silicon etch
|
US10497573B2
(en)
|
2018-03-13 |
2019-12-03 |
Applied Materials, Inc. |
Selective atomic layer etching of semiconductor materials
|
US10573527B2
(en)
|
2018-04-06 |
2020-02-25 |
Applied Materials, Inc. |
Gas-phase selective etching systems and methods
|
US10490406B2
(en)
|
2018-04-10 |
2019-11-26 |
Appled Materials, Inc. |
Systems and methods for material breakthrough
|
US10699879B2
(en)
|
2018-04-17 |
2020-06-30 |
Applied Materials, Inc. |
Two piece electrode assembly with gap for plasma control
|
US10886137B2
(en)
|
2018-04-30 |
2021-01-05 |
Applied Materials, Inc. |
Selective nitride removal
|
US10755941B2
(en)
|
2018-07-06 |
2020-08-25 |
Applied Materials, Inc. |
Self-limiting selective etching systems and methods
|
US10872778B2
(en)
|
2018-07-06 |
2020-12-22 |
Applied Materials, Inc. |
Systems and methods utilizing solid-phase etchants
|
US10672642B2
(en)
|
2018-07-24 |
2020-06-02 |
Applied Materials, Inc. |
Systems and methods for pedestal configuration
|
US10892198B2
(en)
|
2018-09-14 |
2021-01-12 |
Applied Materials, Inc. |
Systems and methods for improved performance in semiconductor processing
|
US11049755B2
(en)
|
2018-09-14 |
2021-06-29 |
Applied Materials, Inc. |
Semiconductor substrate supports with embedded RF shield
|
US11062887B2
(en)
|
2018-09-17 |
2021-07-13 |
Applied Materials, Inc. |
High temperature RF heater pedestals
|
US11417534B2
(en)
|
2018-09-21 |
2022-08-16 |
Applied Materials, Inc. |
Selective material removal
|
US11682560B2
(en)
|
2018-10-11 |
2023-06-20 |
Applied Materials, Inc. |
Systems and methods for hafnium-containing film removal
|
US11121002B2
(en)
|
2018-10-24 |
2021-09-14 |
Applied Materials, Inc. |
Systems and methods for etching metals and metal derivatives
|
CN111156161A
(zh)
*
|
2018-11-07 |
2020-05-15 |
宁波方太厨具有限公司 |
一种增压泵减振降噪结构
|
US11437242B2
(en)
|
2018-11-27 |
2022-09-06 |
Applied Materials, Inc. |
Selective removal of silicon-containing materials
|
US11721527B2
(en)
|
2019-01-07 |
2023-08-08 |
Applied Materials, Inc. |
Processing chamber mixing systems
|
US10920319B2
(en)
|
2019-01-11 |
2021-02-16 |
Applied Materials, Inc. |
Ceramic showerheads with conductive electrodes
|
USD914620S1
(en)
|
2019-01-17 |
2021-03-30 |
Asm Ip Holding B.V. |
Vented susceptor
|
USD920936S1
(en)
|
2019-01-17 |
2021-06-01 |
Asm Ip Holding B.V. |
Higher temperature vented susceptor
|
US20200354831A1
(en)
*
|
2019-05-07 |
2020-11-12 |
Alta Devices, Inc. |
Methods and systems for cleaning deposition systems
|
TW202110587A
(zh)
|
2019-05-22 |
2021-03-16 |
荷蘭商Asm Ip 控股公司 |
工件基座主體及用於沖洗工件基座的方法
|
US11764101B2
(en)
|
2019-10-24 |
2023-09-19 |
ASM IP Holding, B.V. |
Susceptor for semiconductor substrate processing
|
CN112981367B
(zh)
*
|
2019-12-17 |
2022-12-27 |
江苏鲁汶仪器有限公司 |
一种快捷方便的断裂顶针回收装置
|
US11411013B2
(en)
|
2020-01-08 |
2022-08-09 |
Micron Technology, Inc. |
Microelectronic devices including stair step structures, and related electronic devices and methods
|
CN113496869A
(zh)
*
|
2020-04-03 |
2021-10-12 |
重庆超硅半导体有限公司 |
一种外延基底用硅晶片之背面膜层及制造方法
|
CN111573238B
(zh)
*
|
2020-05-07 |
2021-08-06 |
泰兴市和宸晶体科技有限公司 |
一种石英晶体壳体压封导出引向装置
|
CN112371452B
(zh)
*
|
2020-11-04 |
2022-03-18 |
上海华力集成电路制造有限公司 |
半导体制造工艺环境的调风装置
|