DE19853598B4 - Dünnschichtherstellungsverfahren mit atomarer Schichtabscheidung - Google Patents

Dünnschichtherstellungsverfahren mit atomarer Schichtabscheidung Download PDF

Info

Publication number
DE19853598B4
DE19853598B4 DE19853598A DE19853598A DE19853598B4 DE 19853598 B4 DE19853598 B4 DE 19853598B4 DE 19853598 A DE19853598 A DE 19853598A DE 19853598 A DE19853598 A DE 19853598A DE 19853598 B4 DE19853598 B4 DE 19853598B4
Authority
DE
Germany
Prior art keywords
reactant
thin film
substrate
chamber
further characterized
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19853598A
Other languages
English (en)
Other versions
DE19853598A1 (de
Inventor
Yeong-kwan Kim
Sang-in Lee
Chang-soo Park
Sang-min Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE19853598A1 publication Critical patent/DE19853598A1/de
Application granted granted Critical
Publication of DE19853598B4 publication Critical patent/DE19853598B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B33/00Electroluminescent light sources
    • H05B33/02Details
    • H05B33/04Sealing arrangements, e.g. against humidity

Abstract

Dünnschichtherstellungsverfahren mit der Schrittfolge:
(a) Chemisorbieren eines ersten Teils eines ersten Reaktanden auf eine Oberfläche eines Substrats durch Einleiten des ersten Reaktanden in eine mit dem Substrat beladene Reaktionskammer, die auf einer Temperatur zwischen 150°C und 375°C gehalten wird,
(b) Entfernen von auf dem chemisorbierten ersten Reaktanden physisorbiertem erstem Reaktandenmaterial mittels Spülen und/oder Abpumpen der Kammer, so dass unbesetzte Chemisorptionsstellen an der Substratoberfläche zugänglich werden, wobei die Reaktionskammer auf einer Temperatur zwischen 150°C und 375°C gehalten wird,
(c) Chemisorbieren eines zweiten Teils des ersten Reaktanden auf den unbesetzten Chemisorptionsstellen der Substratoberfläche durch erneutes Einleiten des ersten Reaktanden in die Kammer,
(d) Entfernen von auf dem dicht chemisorbierten ersten Reaktanden physisorbiertem erstem Reaktandenmaterial mittels Spülen und/oder Abpumpen der Kammer,
(e) Chemisorbieren eines ersten Teils eines zweiten Reaktanden auf die Oberfläche des Substrates durch Einleiten des zweiten Reaktanden in die Kammer, so dass durch chemischen Austausch ein...

Description

  • Die Erfindung bezieht sich auf ein Verfahren zur Herstellung einer Dünnschicht mittels atomarer Schichtabscheidung (ALD).
  • Eine Dünnschicht wird beispielsweise als Dielektrikum in einem Halbleiterbauelement, als transparente Leiterschicht einer Flüssigkristallanzeige oder als Schutzschicht einer Dünnschicht-Elektrolumineszenzanzeige verwendet. Die dünne Schicht kann durch Aufdampfen, chemische Gasphasenabscheidung oder das ALD-Verfahren gebildet werden.
  • ALD ist ein oberflächengesteuerter Prozess, der eine zweidimensionale Abscheidung Schicht-um-Schicht verwendet. Durch das ALD-Verfahren wird die Abscheidung in einem oberflächenkinetischen Bereich durchgeführt, so dass sich eine ausgezeichnete Stufenbedeckung ergibt. Außerdem wird ein Reaktand durch chemischen Austausch unter periodischer Zuführung des Reaktanden ohne Pyrolyse zersetzt, so dass der resultierende Film eine hohe Dichte und eine präzise Stöchiometrie aufweist. Zudem sind die durch den chemischen Austausch entstehenden Nebenprodukte gasförmig und können leicht entfernt werden, so dass eine zugehörige Reaktionskammer leicht gereinigt werden kann. Im ALD-Verfahren ist die Temperatur die einzige Prozessvariable, so dass der Prozess leicht gesteuert und aufrechterhalten werden kann. Jedoch können beim konventionellen ALD Defekte, wie z. B. Nadellöcher, in dem dünnen Film erzeugt werden, indem nicht ausreichend Reaktandmaterial auf der Oberfläche eines Substrats absorbiert wird. Dies kann die physikalischen Eigenschaften der Dünnschicht, wie z. B. die Filmdichte, verschlechtern. Zudem kann es beim herkömmlichen ALD zu einem nicht vollständigen Entfernen eines chemischen Liganden kommen, wodurch dann kein präzis stöchiometrischer dünner Film erhalten werden kann.
  • Bei einem in der Offenlegungsschrift JP 07-014784 A offenbarten atomaren Schichtabscheidungsverfahren wird nach einem Schritt zum Adsorbieren von Molekülen oder Atomen an einer Substratoberfläche ein Schritt zum Entfernen von unnötigen, auf der Substratoberfläche adsorbierten Molekülen bzw. Atomen mittels energetischer Anregung z. B. durch einen Laser- oder Elektronenstrahl durchgeführt. Gleichzeitig oder nach dem anregungsunterstützten Entfernen der überschüssigen Moleküle bzw. Atome wird die Temperatur der Substratoberfläche erhöht, um eine Migrationsbewegung der adsorbierten Moleküle bzw. Atome zu bewirken und so die Oberfläche zu glätten. Dieser Prozesszyklus wird dann nach Bedarf wiederholt.
  • Die Offenlegungsschrift JP 06-013317 A offenbart ein Verfahren zur Bildung vertikaler Übergitter, insbesondere mit GaAs/AlAs-Schichtfolge, wie sie in Halbleiterbauelementen verwendet werden. Bei diesem Verfahren wird ein Substrat schräg zu einer Prozessgaszufuhrrichtung in einer Vakuumkammer positioniert und während der Abscheidung auf einer Temperatur zwischen 550°C und 750°C gehalten. Die Gaszufuhr erfolgt gepulst mit einer typischen Taktzeit von 0,1 ms, um die Gasmenge genauer steuern zu können.
  • Die Patentschrift US 5 693 139 A offenbart ein Verfahren zum Aufwachsen dotierter monomolekularer Halbleiterverbindungsschichten mittels alternierender Anwendung verschiedener Quellengase in einer speziellen Molekularschichtepitaxietechnik.
  • Der Erfindung liegt als technisches Problem die Bereitstellung eines Dünnschichtherstellungsverfahrens zugrunde, mit dem es möglich ist, eine dünne Schicht mit präziser Stöchiometrie und hoher Filmdichte durch atomare Schichtabscheidung zu erhalten.
  • Die Erfindung löst dieses Problem durch die Bereitstellung eines Dünnschichtherstellungsverfahrens mit den Merkmalen des Anspruchs 1. Indem der erste Reaktand und der zweite Reaktand genauer abgestimmt absorbiert und die Verunreinigungen vollständig mittels Abpumpen und/oder Spülen bei aufrechterhaltener Temperatur entfernt werden, lässt sich eine präzis stöchiometrische Dünnschicht mit hoher Filmdichte erzielen.
  • Vorteilhafte Weiterbildungen der Erfindung sind in den Unteransprüchen angegeben.
  • Eine vorteilhafte Ausführungsform der Erfindung ist in den Zeichnungen dargestellt und wird nachfolgend beschrieben. Hierbei zeigen:
  • 1 bis 8 schematische Querschnittsansichten eines Substrats in verschiedenen Stufen eines Dünnschichtherstellungsverfahrens mit atomarer Schichtabscheidung,
  • 9A und 9B zwei Querschnittsansichten entsprechend 8, jedoch für andere Ausführungsbeispiele, in denen die Dünnschicht auf andere Weise unter Verwendung atomarer Schichtabscheidung hergestellt wird,
  • 10 eine schematische Blockdiagrammdarstellung einer zur Durchführung des erfindungsgemäßen Dünnschichtherstellungsverfahrens geeigneten Dünnschichtherstellungsapparatur,
  • 11 ein Flussdiagramm des erfindungsgemäßen Dünnschichtherstellungsverfahrens,
  • 12 ein Diagramm der Dicke eines durch das erfindungsgemäße Dünnschichtherstellungsverfahren gebildeten Aluminiumoxidfilms in Abhängigkeit von der Anzahl durchgeführter Herstellungszyklen,
  • 13 ein Diagramm der Dicke eines durch das erfindungsgemäße Dünnschichtherstellungsverfahren gebildeten Aluminiumoxidfilms in Abhängigkeit vom Substratort und
  • 14 und 15 Diagramme des Brechungsindex in Abhängigkeit von der Wellenlänge für einen erfindungsgemäß bzw. einen herkömmlich gebildeten Aluminiumoxidfilm.
  • Die 1 bis 8 veranschaulichen Prozessschritte einer erfindungsgemäßen Herstellung einer dünnen Schicht unter Verwendung atomarer Schichtabscheidung. Dabei werden, wenn eine abzuscheidende dünne Schicht aus einer zweikomponentigen Verbindung besteht, welche die Komponenten A und B enthält, ein erster Reaktand mit ARn(g) und ein zweiter Reaktand mit BPn(g) bezeichnet. Rn ist hierbei ein chemischer Ligand, der aus einer Anzahl n von R-Radikalen gebildet wird. Analog ist Pn ein chemischer Ligand, der aus einer Anzahl n von P-Radikalen gebildet wird. Mit g wird ein gasförmiger Zustand bezeichnet.
  • Bezugnehmend auf 1 wird der erste Reaktand an der Oberfläche eines Substrates 1, z. B. eines (100)-Siliciumsubstrates, chemisorbiert und physisorbiert, indem der erste Reaktand ARn(g) in eine nicht gezeigte Reaktionskammer eingeleitet wird, in die das Substrat 1 eingebracht wurde. Der erste Reaktand wird an der Oberfläche des Substrates chemisorbiert. An dem chemisorbierten ersten Reaktand kann der erste Reaktand physisorbiert werden. Da der erste Reaktand ARn(g) insgesamt zur gleichen Zeit eingeleitet wurde, kann ein Platz, der eine Chemisorption bewirken kann, mit dem physisorbierten ARn(g) bedeckt sein. Dementsprechend kann sich auf dem Substrat ein Leerraum 3 bilden.
  • Bezugnehmend auf 2 wird der physisorbierte erste Reaktand durch Spülen oder Abpumpen vollständig aus der Kammer entfernt, in welcher der chemisorbierte der physisorbierte erste Reaktand gebildet wurden. Dadurch verbleibt der chemisorbierte erste Reaktand auf der Oberfläche des Substrates 1. Der chemisorbierte erste Reaktand ist ARn(s), d. h. er befindet sich im Festkörperzustand, wobei viele Bereiche der Oberfläche des Substrates 1 freiliegen. Mit s ist ein Festkörperzustand bezeichnet.
  • Bezugnehmend auf 3 wird der erste Reaktand dicht in die Oberfläche des Substrates 1 chemisorbiert und physisorbiert, indem erneut der erste Reaktand ARn(g) in die Kammer eingeleitet wurde, die das Substrat 1 enthält, auf welchem das feste ARn(s) gebildet wurde. Dadurch wird der erste Reaktand in den freien Stellen 3 von 1 chemisorbiert und physisorbiert. Wie in 1 wird der erste Reaktand an der Oberfläche des Substrates 1 chemisorbiert, während auf dem chemisorbierten ersten Reaktand der physisorbierte erste Reaktand gebildet wird.
  • Bezugnehmend auf 4 wird der physisorbierte erste Reaktand durch Abpumpen oder Spülen der Kammer vollständig entfernt, die das Substrat 1 enthält, auf welchem der chemisorbierte erste Reaktand gebildet ist. Der Prozess des Einleitens des ersten Reaktanden und des Entfernens des physisorbierten ersten Reaktanden wird zweimal durchgeführt, so dass nur der dicht chemisorbierte erste Reaktand, d. h. das feste ARn(s), auf der Oberfläche des Substrates 1 verbleibt und Verunreinigungen, wie ein chemischer Ligand, vollständig entfernt werden, wobei s einen Festkörperzustand bezeichnet. Im vorliegenden Ausführungsbeispiel können die in den 1 bis 4 gezeigten Prozesse auch mehr als zweimal wiederholt werden.
  • Bezugnehmend auf 5 wird dann ein zweiter Reaktand BPn(g) in die Kammer eingeleitet, die das Substrat 1 enthält, auf dem der dicht chemisorbierte erste Reaktand gebildet ist, wobei der zweite Reaktand auf der Oberfläche des Substrats chemisorbiert wird und an dem chemisorbierten zweiten Reaktand physisorbiert wird. Dadurch bildet sich eine Monoschicht aus den chemisorbierten ersten und zweiten Reaktanden, die auf dem Niveau einer aus A- und B-Atomen durch chemischen Austausch gebildeten atomaren Schicht nicht dicht ist. In diesem Stadium werden Rn und Pn, die chemische Liganden sind, in einem Zustand mit hohem Dampfdruck entfernt. Da der zweite Reaktand in 5 wie der erste Reaktand von 1 nicht dicht in die Oberfläche des Substrates chemisorbiert wird, wird der zweite Reaktand nicht im adäquater Weise chemisch mit dem ersten Reaktanden ausgetauscht. Wegen dieses nicht adäquaten chemischen Austauschs des zweiten mit dem ersten Reaktanden werden Verunreinigungen in der Monoschicht gebildet, oder es wird kein stöchiometrisches Zusammensetzungsverhältnis erreicht.
  • Bezugnehmend auf 6 wird der physisorbierte zweite Reaktand durch Abpumpen oder Spülen der Kammer entfernt, in welcher die nicht dichte Monoschicht und der physisorbierte zweite Reaktand gebildet wurden. Dadurch entsteht eine chemisorbierte, feste Monoschicht auf der Oberfläche des Substrates. Da die feste Monoschicht von 6 aus ARnBPn(s) besteht, verbleiben Verunreinigungen von RnPn(s), das stöchiometrische Zusammensetzungsverhältnis wird nicht erreicht, und die Schichtdichte ist mäßig, wobei s wiederum einen Feststoffzustand bezeichnet.
  • Bezugnehmend auf 7 wird zwecks Verbesserung des stöchiometrischen Zusammensetzungsverhältnisses und der Schichtdichte der zweite Reaktand in die Oberfläche des Substrates chemi- und physisorbiert, indem erneut der zweite Reaktand BPn(g) in die Kammer eingeleitet wird, die das Substrat enthält, auf der die nicht dichte, feste Monoschicht gebildet worden ist. Der zweite Reaktand wird in die Oberfläche des Substrates chemisorbiert. Außerdem wird der zweite Reaktand in den chemisorbierten zweiten Reaktanden physisorbiert. Dadurch bilden die chemisorbierten ersten und zweiten Reaktanden eine dichte Monoschicht mit einer Dichte auf dem Niveau der aus den A- und B-Atomen durch das chemische Austauschverfahren erzeugten atomaren Schicht. In diesem Stadium werden die chemischen Liganden Rn und Pn in einemgasförmigen Zustand mit hohem Dampfdruck entfernt.
  • Da in 7 der zweite Reaktand in die Oberfläche des Substrates, die in 5 nicht dicht chemisorbiert wurde, chemisorbiert wird, wird der zweite Reaktand ausreichend mit dem dichten ersten Reaktanden chemisch ausgetauscht, so dass die Verunreinigungen in der Monoschicht verringert werden und das stöchiometrische Zusammensetzungsverhältnis erreicht wird.
  • Bezugnehmend auf 8 wird der physisorbierte zweite Reaktand vollständig mittels Spülen oder Abpumpen der Kammer entfernt, in welcher die dichte Monoschicht und der physisorbierte zweite Reaktand gebildet wurden. Dadurch verbleibt auf der Oberfläche des Substrates nur noch die dichte Feststoff-Monoschicht, die dicht chemisorbiert ist, d. h. AB(s), wobei s wiederum einen Feststoffzustand bezeichnet. Im vorliegenden Ausführungsbeispiel können die Prozesse gemäß den 5 bis 8 auch mehr als zweimal wiederholt werden.
  • In 8 werden die Komponenten A und B an der Oberfläche des Substrates gebildet, so dass eine Verbindung AB(s) entsteht. Wenn jedoch die erfindungsgemäße atomare Schichtabscheidung angewendet wird, kann die Komponente A auf der Oberfläche des Substrates und die Komponente B auf der Komponente A gebildet werden, wodurch die in 9A gezeigte Verbindung AB(s) entsteht, oder es können beide Komponenten A und B auf der Oberfläche des Substrates gebildet sowie die Komponente B auf der Komponente A und die Komponente A auf der Komponente B gebildet werden, um dadurch insgesamt die Verbindung AB(s) zu erzeugen.
  • Nachfolgend werden die Prozesse zur Erzeugung einer Dünnschicht unter Verwendung des erfindungsgemäßen Dünnschichtherstellungsverfahrens näher erläutert.
  • 10 zeigt ein schematisches Blockdiagramm einer Dünnschichtherstellungsapparatur, die für das erfindungsgemäße Dünnschichtherstellungsverfahren verwendet werden kann. 11 veranschaulicht das erfindungsgemäße Dünnschichtherstellungsverfahren in einem Flussdiagramm.
  • Nach Laden eines Substrates 3, z. B. eines (100)-Siliciumsubstrates, in eine Kammer 30 wird das Substrat unter Verwendung eines Heizers 5 auf eine Temperatur von ungefähr 150°C bis 375°C, vorzugsweise 300°C, gebracht (Schritt 100). Hierbei wird die Temperatur des Heizers 5 auf etwa 450°C gehalten, wenn die Temperatur des Substrates auf etwa 300°C gehalten werden soll.
  • Ein erster Reaktand 11, wie Al(CH3)3, d. h. TMA, wird aus einer ersten Waschflasche 12 in die Kammer 30 für 0,1 s bis 10 s, vorzugsweise 0,5 s, eingeleitet, während die Kammer 30 auf 150°C bis 375°C gehalten wird (Schritt 105). Der erste Reaktand 11 wird folglich unter Verwendung eines Sprudelverfahrens eingeleitet. Der erste Reaktand 11 wird über eine erste Gasleitung 13 und einen Duschkopf 15 unter selektivem Betätigen einer Ventilanordnung 9 zugeführt, nachdem der erste Reaktand 11 von einem flüssigen Zustand durch Einleiten von 0,1688 Pa·m3·s–1 eines Ar-Trägergases aus einer Gasquelle 19 in die erste Waschflasche 12, die bei einer Temperatur von 20°C bis 22°C gehalten wird, in ein Gas überführt wurde. Über eine zweite Gasleitung 18 und den Duschkopf 15 werden 4·0,1688 Pam3s–1 Stickstoffgas aus der Gasquelle 19 zugeführt, um die Fließgeschwindigkeit des ersten Reaktanden 11 zu verbessern und den ersten Reaktanden 11 während der Einleitung desselben zu verdünnen. Im Ergebnis werden damit 5·0,1688 Pam3 ·s–1 Gas während des Einleitens des ersten Reaktanden 11 in die Kammer 30 eingebracht. In diesem Stadium wird der Druck in der Kammer bei 133,322 Pa bis 2·133,322 Pa gehalten. Dadurch wird der erste Reaktand 11 auf einem atomaren Niveau in die Oberfläche des Substrates 3 chemisorbiert. Auf dem chemisorbierten ersten Reaktand 11 bildet sich der physisorbierte erste Reaktand 11.
  • Der physisorbierte erste Reaktand wird dann durch selektives Betätigen der Ventilanordnung 9 aus der Kammer 30 entfernt, während die Temperatur bei 150°C bis 375°C und der Druck bei 133,322 Pa bis 2·133,322 Pa gehalten werden und die 4·0,1688 Pa·m3·s–1 Stickstoffgas aus der Gasquelle 19 für 0,1 s bis 10 s, vorzugsweise 1 s, zur Spülung eingeströmt werden, wozu die erste Gasleitung 13 oder die zweite Gasleitung 18 verwendet wird (Schritt 110). Im vorliegenden Beispiel wird der physisorbierte erste Reaktand durch Spülen entfernt. Der physisorbierte erste Reaktand kann jedoch auch durch Abpumpen der Kammer in einen Vakuumzustand ohne Spülen entfernt werden.
  • Anschließend wird der erste Reaktand 11 erneut in die Kammer 30, aus welcher der physisorbierte erste Reaktand entfernt wurde, wie im Schritt 105 eingeleitet (Schritt 115). Hierbei ist die Zeitdauer für das Einleiten des ersten Reaktanden im Schritt 115 gleich groß oder kürzer als diejenige für das Einleiten des ersten Reaktanden im Schritt 105. Der physisorbierte erste Reaktand wird dann aus der Kammer 30 wie im Schritt 110 entfernt (Schritt 120). Hierbei ist die für das Entfernen des physisorbierten ersten Reaktanden im Schritt 120 verwendete Zeitdauer gleich groß oder kürzer als diejenige zum Entfernen des ersten Reaktanden im Schritt 110. Wenn das Einleiten des ersten Reaktanden und der Spülprozess wiederholt durchgeführt werden, bildet sich auf dem Substrat der dicht chemisorbierte erste Reaktand. Im vorliegenden Beispiel werden die Prozesse des Einleitens des ersten Reaktanden und des Entfernens des physisorbierten ersten Reaktanden jeweils zweimal durchgeführt. Die Prozesse können jedoch auch öfter ausgeführt werden.
  • Danach wird in die Kammer, die das Substrat enthält, auf dem der dicht chemisorbierte erste Reaktand gebildet wurde, ein zweiter Reaktand 17, wie deionisiertes Wasser, aus einer zweiten Waschflasche 14 über eine dritte Gasleitung 16 und den Duschkopf 15 für eine Zeitdauer von Millisekunden bis 10 s, vorzugsweise 0,5 s, durch selektives Betätigen einer Ventilanordnung 10 eingeleitet, während eine Temperatur von 150°C bis 350°C und ein Druck von 133,322 Pa bis 2·133,322 Pa aufrechterhalten werden (Schritt 125).
  • Wie der erste Reaktand wird somit auch der zweite Reaktand 17 unter Verwendung des Sprudelverfahrens eingeleitet. Dabei wird der zweite Reaktand über die dritte Gasleitung 16 und den Duschkopf 15 zugeführt, nachdem er aus einem flüssigen Zustand durch Einleiten von 0,1688 Pa·m3·s–1 eines Ar-Trägergases aus der Gasquelle 19 in die zweite Waschflasche 14, die bei einer Temperatur von 20°C bis 22°C gehalten wird, in einen Gaszustand gebracht wurde. Über die zweite Gasleitung 18 und den Duschkopf 15 werden wiederum 4·0,1688 Pa·m3·s–1 Stickstoffgas eingeleitet, um die Fließgeschwindigkeit des zweiten Reaktanden 17 während des Einleitens desselben zu verbessern und ihn zu verdünnen. Als Ergebnis beträgt die in die Kammer 30 während des Einleitens des zweiten Reaktanden 17 eingebrachte Gasmenge 5·0,1688 Pa·m3·s–1. Der Druck in der Kammer 30 wird in diesem Stadium bei 133,322 Pa bis 2·133,322 Pa gehalten. Dadurch wird der zweite Reaktand in das Substrat 3 chemisorbiert, auf welcher der dicht chemisorbierte erste Reaktand gebildet wurde. Des weiteren wird der zweite Reaktand in die chemisorbierten ersten und zweiten Reaktanden absorbiert. Aus dem dicht chemisorbierten ersten Reaktanden und dem chemisorbierten zweiten Reaktanden, der nicht dicht ist, bildet sich durch das chemische Austauschverfahren ein nicht dichter Aluminiumoxidfilm auf dem Niveau einer atomaren Schicht.
  • Der physisorbierte zweite Reaktand wird dann durch selektives Betätigen einer Ventilanordnung 10 aus der Kammer 30 entfernt, in welcher der auf dem Niveau einer atomaren Schicht nicht dichte Aluminiumoxidfilm gebildet wurde, wozu zwecks Spülung 4·0,1688 Pa·m3·s–1 Stickstoffgas aus der Gasquelle 19 für 0,1 s bis 10 s, vorzugsweise 1 s, unter Verwendung der zweiten Gasleitung 18 oder der dritten Gasleitung 16 eingeleitet werden, während eine Temperatur von 150°C bis 475°C und ein Druck von 133,322 Pa bis 2·133,322 PA aufrechterhalten werden (Schritt 130). Im vorliegenden Beispiel wird der physisorbierte zweite Reaktand durch Spülen entfernt. Er kann jedoch alternativ auch durch Abpumpen der Kammer auf einen Vakuumzustand ohne Spülen entfernt werden.
  • Der zweite Reaktand 11 wird dann wie im Schritt 125 erneut in die Kammer 30 eingeleitet, aus welcher der physisorbierte zweite Reaktand entfernt wurde (Schritt 135). Hierbei ist die zum Einleiten des zweiten Reaktanden im Schritt 135 benutzte Zeitdauer gleich groß oder kürzer als diejenige zum Einleiten des zweiten Reaktanden im Schritt 125. Anschließend wird der physisorbierte zweite Reaktand wie im Schritt 130 aus der Kammer 30 entfernt (Schritt 140). Wenn die Prozesse des Einleitens des zweiten Reaktanden und Entfernens des physisorbierten zweiten Reaktanden wiederholt ausgeführt werden, bildet sich der dicht chemisorbierte zweite Reaktand auf dem Substrat. Als Ergebnis bilden der dicht chemisorbierte erste Reaktand und der dicht chemisorbierte zweite Reaktand durch chemischen Austausch einen Aluminiumoxidfilm auf dem Niveau einer atomaren Schicht. Im vorliegenden Beispiel werden die Prozesse des Einleitens des zweiten Reaktanden und des Entfernens des physisorbierten zweiten Reaktanden je zweimal ausgeführt. Sie können jedoch bei Bedarf auch öfter ausgeführt werden.
  • Im Anschluss daran wird festgestellt, ob durch periodisches und wiederholtes Durchführen der Schritte 105 bis 140 eine dünne Schicht mit einer Dicke von ungefähr 1 nm bis 100 nm gebildet wurde (Schritt 145). Wenn die dünne Schicht die gewünschte Dicke aufweist, werden die Dünnschichtherstellungsprozesse durch Zurückführen der Temperatur und des Drucks der Kammer auf ihre Normalwerte und Beenden der Wiederholung des obigen Zyklus abgeschlossen (Schritt 150).
  • Im Beispiel von 11 bilden die ersten und zweiten Reaktanden den Aluminiumoxidfilm Al2O3 unter Verwendung von Al(CH3)3, d. h. TMA, und deionisiertem Wasser. Wenn die ersten und zweiten Reaktanden TiCl4 bzw. NH3 sind, kann ein TiN-Film erzeugt werden. Wenn die ersten und zweiten Reaktanden MoCl5 bzw. H2 sind, kann ein Mo-Film erzeugt werden. Statt des Aluminiumoxidfilms, des TiN-Films und des Mo-Films kann durch das erfindungsgemäße Dünnschichtherstellungsverfahren auch eine feste Dünnschicht aus einer einzelnen Atomsorte, einem Einzelelement-Oxid, einem Mehrelement-Oxid, einem Einzelelement-Nitrid oder einem Mehrelement-Nitrid gebildet werden. Als Atomsorten für eine feste Einzelelement-Dünnschicht können Al, Cu, Ti, Ta, Pt, Ru, Rh, Ir, W und Ag verwendet werden. Als das Einzelelement-Oxid können TiO2, Ta2O5, ZrO2, HfO2, Nb2O5, CeO2, Y2O3, SiO2, In2O3, RuO2 und IrO2 verwendet werden. Für die Mehrelement-Oxidschicht können SrTiO3, PbTiO3, SrRuO3, CaRuO3, (Ba, Sr)TiO3, Pb(Zr, Ti)O3, (Pb, La)(Zr, Ti)O3, (Sr, Ca)RuO3, In2O3 dotiert mit Sn, In2O3 dotiert mit Fe und In2O3 dotiert mit Zr verwendet werden. Als das Einzelelement-Nitrid können SiN, NbN, ZrN, TaN, Ya3N5, AlN, GaN, WN und BN verwendet werden. Als das Mehrelement-Nitrid können WBN, WSiN, TiSiN, TaSiN, AlSiN und AlTiN verwendet werden.
  • Wie oben angegeben, wird beim erfindungsgemäßen Dünnschichtherstellungsverfahren nach wiederholtem Einleiten des ersten Reaktanden und Entfernen des physisorbierten ersten Reaktanden bei gleichmäßiger Aufrechterhaltung von Temperatur und Druck der zweite Reaktand wiederholt eingeleitet und der physisorbierte zweite Reaktand wiederholt entfernt. Dadurch ist es möglich, eine präzis stöchiometrische Dünnschicht mit hoher Filmdichte zu erhalten, da der erste Reaktand durch chemischen Austausch mit dem dicht abgeschiedenen zweiten Reaktanden reagiert, während der erste Reaktand dicht auf dem Substrat abgeschieden wird.
  • 12 veranschaulicht grafisch die Dicke der Aluminiumoxidschicht pro Zyklus, hergestellt durch das erfindungsgemäße Dünnschichtherstellungsverfahren. Auf der x-Achse ist die Anzahl von Zyklen abgetragen. Ein Zyklus umfasst hierbei die Schritte des Einleitens des ersten Reaktanden, des Entfernens des physisorbierten ersten Reaktanden, des Einleitens des ersten Reaktanden, des Entfernens des physisorbierten ersten Reaktanden, des Einleitens des zweiten Reaktanden, des Entfernens des physisorbierten zweiten Reaktanden, des Einleitens des zweiten Reaktanden und des Entfernens des physisorbierten zweiten Reaktanden. Auf der y-Achse ist die Dicke des Aluminiumoxidfilms abgetragen. Wie aus 12 erkennbar, wächst nach dem erfindungsgemäßen Dünnschichtherstellungsverfahren das Aluminium mit einer Dicke von 0,11 nm pro Zyklus auf, was in etwa einem theoretischen Wert entspricht.
  • 13 veranschaulicht grafisch die Gleichmäßigkeit des auf dem Substrat durch das erfindungsgemäße Dünnschichtherstellungsverfahren gebildeten Aluminiumoxidfilms. Auf der x-Achse ist die Position abgetragen, an welcher jeweils die Filmdicke eines Substrates mit einer Abmessung von 8 Zoll = 203,2 mm gemessen wurde. Die Messpositionen lagen im Mittelpunkt, an vier um jeweils 90° voneinander auf einer Kreislinie um den Wafermittelpunkt mit einem Radius von 88,9 mm beabstandeten Punkten und an vier um jeweils 90° voneinander auf einer Kreislinie um den Wafermittelpunkt mit einem Radius von 44,45 mm beabstandeten Punkten. Auf der y-Achse ist die Dicke des Aluminiumoxidfilms abgetragen. Wie aus 13 erkennbar, ergibt sich über das 8-Zoll(203,2 mm)-Substrat hinweg eine ausgezeichnete Gleichmäßigkeit.
  • Die 14 und 15 veranschaulichen grafisch den Brechungsindex in Abhängigkeit von der Wellenlänge für einen erfindungsgemäß bzw. einen herkömmlich gebildeten Aluminiumoxidfilm. Auf der x-Achse ist jeweils der Wellenlängenbereich von 400 nm bis 800 nm abgetragen. Auf der y-Achse ist der Brechungsindex abgetragen.
  • Wie daraus erkennbar, liegt der Brechungsindex des erfindungsgemäß hergestellten Aluminiumoxidfilms im Wellenlängenbereich von 400 nm bis 800 nm zwischen 1,67 und 1,73. Insbesondere beträgt, wie in den 14 und 15 dargestellt, bei einer Wellenlänge von 500 nm der Brechungsindex der erfindungsgemäß. hergestellten Aluminiumoxidschicht 1,698 und ist damit größer als der Brechungsindex von 1,649 der herkömmlich gefertigten Aluminiumoxidschicht. Außerdem sei festgestellt, dass sich eine hohe Filmdichte ergibt. Zudem ist der Brechungsindex der erfindungsgemäß hergestellten Aluminiumoxidschicht vergleichbar mit demjenigen, der nach einem Tempern der herkömmlich gebildeten Aluminiumoxidschicht bei 850°C für 30 min gemessen wird. Der erfindungsgemäß hergestellte Aluminiumoxidfilm braucht daher nicht getempert zu werden.
  • Wie oben angegeben, ermöglicht es das erfindungsgemäße Dünnschichtherstellungsverfahren, eine dünne Schicht mit ausgezeichneter Stufenbedeckung und Gleichmäßigkeit zu erhalten, indem die atomare Schichtabscheidung verwendet wird. Außerdem ist es durch das erfindungsgemäße Dünnschichtherstellungsverfahren möglich, den Reaktanden dicht und in das Substrat zu chemisorbieren, indem der Prozess des vollständigen Entfernens der Verunreinigungen mittels Durchführen des Spülens und/oder Abpumpens nach Einleiten der Reaktanden unter gleichmäßiger Aufrechterhaltung von Temperatur und Druck wiederholt ausgeführt wird. Dadurch ist es möglich, eine präzis stöchiometrische Dünnschicht mit hoher Dichte zu erhalten.

Claims (15)

  1. Dünnschichtherstellungsverfahren mit der Schrittfolge: (a) Chemisorbieren eines ersten Teils eines ersten Reaktanden auf eine Oberfläche eines Substrats durch Einleiten des ersten Reaktanden in eine mit dem Substrat beladene Reaktionskammer, die auf einer Temperatur zwischen 150°C und 375°C gehalten wird, (b) Entfernen von auf dem chemisorbierten ersten Reaktanden physisorbiertem erstem Reaktandenmaterial mittels Spülen und/oder Abpumpen der Kammer, so dass unbesetzte Chemisorptionsstellen an der Substratoberfläche zugänglich werden, wobei die Reaktionskammer auf einer Temperatur zwischen 150°C und 375°C gehalten wird, (c) Chemisorbieren eines zweiten Teils des ersten Reaktanden auf den unbesetzten Chemisorptionsstellen der Substratoberfläche durch erneutes Einleiten des ersten Reaktanden in die Kammer, (d) Entfernen von auf dem dicht chemisorbierten ersten Reaktanden physisorbiertem erstem Reaktandenmaterial mittels Spülen und/oder Abpumpen der Kammer, (e) Chemisorbieren eines ersten Teils eines zweiten Reaktanden auf die Oberfläche des Substrates durch Einleiten des zweiten Reaktanden in die Kammer, so dass durch chemischen Austausch ein erster Teil einer Monolagen-Festkörperdünnschicht gebildet wird, wobei die Kammer auf einer Temperatur zwischen 150°C und 350°C gehalten wird, (f) Entfernen von auf den chemisorbierten ersten und zweiten Reaktanden physisorbiertem zweitem Reaktandenmaterial mittels Spülen und/oder Abpumpen der Kammer, die auf einer Temperatur zwischen 150°C und 475°C gehalten wird, und (g) Erzeugen eines zweiten Teils der Monolagen-Festkörperdünnschicht durch chemischen Austausch mit Chemisorption eines zweiten Teils des zweiten Reaktanden auf der Substratoberfläche mittels erneutem Einleiten des zweiten Reaktanden in die Kammer.
  2. Dünnschichtherstellungsverfahren nach Anspruch 1, weiter gekennzeichnet durch folgenden Schritt: (h) Entfernen des physisorbierten zweiten Reaktandenmaterials und von Resten, die während des chemischen Austauschs im Schritt g erzeugt wurden, mittels Spülen und/oder Abpumpen der Kammer.
  3. Dünnschichtherstellungsverfahren nach Anspruch 2, weiter dadurch gekennzeichnet, dass die Prozessschritte e, f, g und h des Einleitens des zweiten Reaktanden und des Entfernens des physisorbierten zweiten Reaktandenmaterials und der Reste zweimal oder öfter nacheinander wiederholt werden.
  4. Dünnschichtherstellungsverfahren nach einem der Ansprüche 1 bis 3, weiter dadurch gekennzeichnet, daß die Prozessschritte a, b, c und d des Einleitens des ersten Reaktanden und des Entfernens des physisorbierten ersten Reaktandenmaterials zweimal oder öfter nacheinander wiederholt werden.
  5. Dünnschichtherstellungsverfahren nach einem der Ansprüche 1 bis 4, weiter dadurch gekennzeichnet, dass die Zeitdauer des Einleitens des ersten Reaktanden im Schritt c gleich groß oder kürzer ist als die Zeitdauer des Einleitens des ersten Reaktanden im Schritt a.
  6. Dünnschichtherstellungsverfahren nach einem der Ansprüche 1 bis 5, weiter dadurch gekennzeichnet, dass die Zeitdauer des Einleitens des zweiten Reaktanden im Schritt g gleich groß oder kürzer ist als die Zeitdauer des Einleitens des zweiten Reaktanden im Schritt e.
  7. Dünnschichtherstellungsverfahren nach einem der Ansprüche 1 bis 6, weiter dadurch gekennzeichnet, dass als Substrat ein (100)-Siliciumsubstrat verwendet wird.
  8. Dünnschichtherstellungsverfahren nach einem der Ansprüche 1 bis 7, weiter dadurch gekennzeichnet, dass der erste Reaktand und der zweite Reaktand aus einem chemischen Liganden und einer Atomsorte zum Aufbau einer festen Dünnschicht bestehen.
  9. Dünnschichtherstellungsverfahren nach einem der Ansprüche 1 bis 8, weiter dadurch gekennzeichnet, dass die feste Dünnschicht eine aus einer Atomsorte bestehende Dünnschicht eine Mehrelement-Oxidschicht, eine Einzelelement-Nitridschicht oder eine Mehrelement-Nitridschicht ist.
  10. Verfahren nach Anspruch 9, weiter dadurch gekennzeichnet, dass die Dünnschicht eine aus einer Atomsorte bestehende Dünnschicht ist, deren Atomsorte in der Gruppe von Mo, Al, Cu, Ti, Ta, Pt, Ru, Rh, Ir, W und Ag enthalten ist.
  11. Dünnschichtherstellungsverfahren nach Anspruch 9, weiter dadurch gekennzeichnet, dass die Dünnschicht eine Einzelelement-Oxidschicht ist, deren Material in der Gruppe von Al2O3, TiO2, Ta2O5, ZrO2, HfO2, Nb2O5, CeO2, Y2O3, SiO2, In2O3, RuO2 und IrO2 enthalten ist.
  12. Dünnschichtherstellungsverfahren nach Anspruch 11, weiter dadurch gekennzeichnet, dass der Brechungsindex einer aus Al2O3 bestehenden, hergestellten Dünnschicht in einem Wellenlängenbereich von 400 nm bis 800 nm zwischen 1,67 und 1,73 liegt.
  13. Dünnschichtherstellungsverfahren nach Anspruch 9, weiter dadurch gekennzeichnet, dass das Mehrelement-Oxidmaterial in der Gruppe von SrTiO3, PbTiO3, SrRuO3, CaRuO3, (Ba, Sr)TiO3, Pb(Zr, Ti)O3, (Pb, La)(Zr, Ti)O3, (Sr, Ca)RuO3, mit Sn dotiertem In2O3, mit Fe dotiertem In2O3 und mit Zr dotiertem InO3 enthalten ist.
  14. Dünnschichtherstellungsverfahren nach Anspruch 9, weiter dadurch gekennzeichnet, dass das Einzelelement-Nitrid in der Gruppe von SiN, NbN, ZrN, TaN, Ya3N5, AlN, GaN, WN und BN enthalten ist.
  15. Verfahren nach Anspruch 9, weiter dadurch gekennzeichnet, dass das Mehrelement-Nitrid in der Gruppe von WBN, WSiN, TiSiN, TaSiN, AlSiN und AlTiN enthalten ist.
DE19853598A 1998-08-07 1998-11-20 Dünnschichtherstellungsverfahren mit atomarer Schichtabscheidung Expired - Fee Related DE19853598B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR98-32139 1998-08-07
KR1019980032139A KR100275738B1 (ko) 1998-08-07 1998-08-07 원자층 증착법을 이용한 박막 제조방법

Publications (2)

Publication Number Publication Date
DE19853598A1 DE19853598A1 (de) 2000-02-10
DE19853598B4 true DE19853598B4 (de) 2013-04-18

Family

ID=19546659

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19853598A Expired - Fee Related DE19853598B4 (de) 1998-08-07 1998-11-20 Dünnschichtherstellungsverfahren mit atomarer Schichtabscheidung

Country Status (7)

Country Link
US (1) US6270572B1 (de)
JP (1) JP4057184B2 (de)
KR (1) KR100275738B1 (de)
CN (1) CN1200135C (de)
DE (1) DE19853598B4 (de)
GB (1) GB2340508B (de)
TW (1) TW432119B (de)

Families Citing this family (602)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP2002536549A (ja) 1999-02-12 2002-10-29 ゲレスト インコーポレイテッド 窒化タングステンの化学蒸着
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
KR100343144B1 (ko) * 1999-10-06 2002-07-05 윤종용 원자층 증착법을 이용한 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
DE10049257B4 (de) * 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100363084B1 (ko) * 1999-10-19 2002-11-30 삼성전자 주식회사 박막 구조를 위한 다중막을 포함하는 커패시터 및 그 제조 방법
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
JP4505098B2 (ja) * 2000-03-08 2010-07-14 株式会社アルバック 絶縁膜の成膜方法及びその成膜装置
US20010052752A1 (en) * 2000-04-25 2001-12-20 Ghosh Amalkumar P. Thin film encapsulation of organic light emitting diode devices
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
EP2293322A1 (de) * 2000-06-08 2011-03-09 Genitech, Inc. Verfahren zur Abscheidung einer Metallnitridschicht
KR20010114050A (ko) * 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
KR100467366B1 (ko) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7112503B1 (en) * 2000-08-31 2006-09-26 Micron Technology, Inc. Enhanced surface area capacitor fabrication methods
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US7217615B1 (en) * 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US6420230B1 (en) * 2000-08-31 2002-07-16 Micron Technology, Inc. Capacitor fabrication methods and capacitor constructions
KR100502557B1 (ko) * 2000-09-18 2005-07-21 동경 엘렉트론 주식회사 게이트 절연체의 성막 방법, 게이트 절연체의 성막 장치및 클러스터 툴
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
GB0026868D0 (en) 2000-11-03 2000-12-20 Isis Innovation Control of deposition and other processes
JP2002164448A (ja) * 2000-11-29 2002-06-07 Sony Corp 不揮発性記憶素子及び不揮発性記憶素子の製造方法
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR100539963B1 (ko) * 2000-12-27 2005-12-28 주식회사 하이닉스반도체 반도체 소자의 유전체막 형성 방법
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
WO2002071506A1 (en) * 2001-02-15 2002-09-12 Emagin Corporation Thin film encapsulation of organic light emitting diode devices
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
JP4427254B2 (ja) * 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド 誘電体皮膜を堆積するための方法
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
JP2002313951A (ja) * 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
DE10121132A1 (de) * 2001-04-30 2002-10-31 Infineon Technologies Ag Verfahren zum Erzeugen einer metallischen oder metallhaltigen Schicht unter Verwendung eines Präkursors auf einer silizium- oder germaniumhaltigen Schicht, insbesondere eines elektronischen Bauelements
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
KR100474847B1 (ko) * 2001-05-07 2005-03-08 삼성전자주식회사 다성분계 박막 및 그 형성 방법
EP1256638B1 (de) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Verfahren zur Herstellung von einer Mehrkomponenten-Dünnschicht
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) * 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP2002339071A (ja) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP3863391B2 (ja) * 2001-06-13 2006-12-27 Necエレクトロニクス株式会社 半導体装置
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6656835B2 (en) * 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
WO2003033762A1 (en) * 2001-10-15 2003-04-24 Micron Technology, Inc. Atomic layer deposition apparatus and process
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
WO2003038892A2 (en) * 2001-10-26 2003-05-08 Applied Materials, Inc. Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7426067B1 (en) 2001-12-17 2008-09-16 Regents Of The University Of Colorado Atomic layer deposition on micro-mechanical devices
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
KR100449247B1 (ko) * 2001-12-26 2004-09-18 주식회사 하이닉스반도체 반도체 커패시터의 Ir/Ir02 전극 제조방법
KR100443350B1 (ko) * 2001-12-29 2004-08-09 주식회사 하이닉스반도체 스트론튬루테늄산화물의 단원자층 증착 방법
FR2842830B1 (fr) * 2002-07-25 2004-08-27 Memscap Structure multicouche utilisee notamment en tant que materiau de forte permittivite
US20030129446A1 (en) * 2001-12-31 2003-07-10 Memscap Le Parc Technologique Des Fontaines Multilayer structure used especially as a material of high relative permittivity
FR2834242B1 (fr) * 2001-12-31 2004-07-02 Memscap Structure multicouche, utilisee notamment en tant que materiau de forte permittivite relative
EP1466034A1 (de) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Vorrichtung und verfahren zur abscheidung von atomschichten
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6926572B2 (en) * 2002-01-25 2005-08-09 Electronics And Telecommunications Research Institute Flat panel display device and method of forming passivation film in the flat panel display device
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6893506B2 (en) * 2002-03-11 2005-05-17 Micron Technology, Inc. Atomic layer deposition apparatus and method
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
WO2003088334A2 (en) * 2002-04-09 2003-10-23 Applied Materials, Inc. Deposition of gate metallization and passivation layers for active matrix liquid crystal display (amlcd) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
AU2003214624A1 (en) * 2002-04-25 2003-11-10 Kashya Israel Ltd. An apparatus for continuous compression of large volumes of data
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100472730B1 (ko) * 2002-04-26 2005-03-08 주식회사 하이닉스반도체 원자층증착법을 이용한 반도체 소자의 금속전극 형성방법
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7425493B2 (en) * 2002-08-17 2008-09-16 Samsung Electronics Co., Ltd. Methods of forming dielectric structures and capacitors
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040074438A1 (en) * 2002-10-22 2004-04-22 Taiwan Semiconductor Manufacturing Company Novel method to reduce resistivity of atomic layer tungsten chemical vapor depositon
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
KR101191222B1 (ko) * 2003-04-23 2012-10-16 아익스트론 인코포레이티드 트랜지언트 강화 원자층 증착
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7440255B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Capacitor constructions and methods of forming
US20050045092A1 (en) * 2003-09-03 2005-03-03 Taiwan Semiconductor Manufacturing Co. Method of multi-element compound deposition by atomic layer deposition for IC barrier layer applications
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US6987063B2 (en) * 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
WO2006098565A1 (en) * 2005-03-16 2006-09-21 Ips Ltd. Method of depositing thin film using ald process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US8060713B1 (en) 2005-12-21 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Consolidating snapshots in a continuous data protection system using journaling
US7849361B2 (en) * 2005-12-22 2010-12-07 Emc Corporation Methods and apparatus for multiple point in time data access
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
CN101370963B (zh) * 2006-01-19 2012-03-28 Asm美国公司 高温原子层沉积进气歧管
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
DE112007001814T5 (de) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
JP4228008B2 (ja) 2006-08-23 2009-02-25 エルピーダメモリ株式会社 半導体装置の製造方法
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5221089B2 (ja) * 2007-09-19 2013-06-26 東京エレクトロン株式会社 成膜方法、成膜装置および記憶媒体
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US20130020507A1 (en) 2010-06-17 2013-01-24 Life Technologies Corporation Methods for Detecting Defects in Inorganic-Coated Polymer Surfaces
WO2009079571A2 (en) * 2007-12-17 2009-06-25 Life Technologies Corporation Methods for detecting defects in inorganic-coated polymer surfaces
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7860836B1 (en) 2007-12-26 2010-12-28 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to recover data in a continuous data protection environment using a journal
US8041940B1 (en) 2007-12-26 2011-10-18 Emc Corporation Offloading encryption processing in a storage area network
US7958372B1 (en) 2007-12-26 2011-06-07 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to convert a logical unit from a first encryption state to a second encryption state using a journal in a continuous data protection environment
US7840536B1 (en) 2007-12-26 2010-11-23 Emc (Benelux) B.V., S.A.R.L. Methods and apparatus for dynamic journal expansion
CN101215692B (zh) * 2008-01-04 2010-06-02 清华大学 多反应腔原子层沉积装置和方法
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
JP2009212303A (ja) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc 基板処理方法
US9501542B1 (en) 2008-03-11 2016-11-22 Emc Corporation Methods and apparatus for volume synchronization
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US7719443B1 (en) 2008-06-27 2010-05-18 Emc Corporation Compressing data in a continuous data protection environment
US8108634B1 (en) 2008-06-27 2012-01-31 Emc B.V., S.A.R.L. Replicating a thin logical unit
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8060714B1 (en) 2008-09-26 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Initializing volumes in a replication system
US7882286B1 (en) 2008-09-26 2011-02-01 EMC (Benelux)B.V., S.A.R.L. Synchronizing volumes for replication
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8392680B1 (en) 2010-03-30 2013-03-05 Emc International Company Accessing a volume in a distributed environment
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8433869B1 (en) 2010-09-27 2013-04-30 Emc International Company Virtualized consistency group using an enhanced splitter
US8478955B1 (en) 2010-09-27 2013-07-02 Emc International Company Virtualized consistency group using more than one data protection appliance
US8694700B1 (en) 2010-09-29 2014-04-08 Emc Corporation Using I/O track information for continuous push with splitter for storage device
US8335771B1 (en) 2010-09-29 2012-12-18 Emc Corporation Storage array snapshots for logged access replication in a continuous data protection system
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8335761B1 (en) 2010-12-02 2012-12-18 Emc International Company Replicating in a multi-copy environment
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9256605B1 (en) 2011-08-03 2016-02-09 Emc Corporation Reading and writing to an unexposed device
US8898112B1 (en) 2011-09-07 2014-11-25 Emc Corporation Write signature command
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9223659B1 (en) 2012-06-28 2015-12-29 Emc International Company Generating and accessing a virtual volume snapshot in a continuous data protection system
US10235145B1 (en) 2012-09-13 2019-03-19 Emc International Company Distributed scale-out replication
US9336094B1 (en) 2012-09-13 2016-05-10 Emc International Company Scaleout replication of an application
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140256966A1 (en) 2013-03-08 2014-09-11 Wisconsin Alumni Research Foundation Method to stabilize base metal catalysts by overcoating via atomic layer deposition and resulting product
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9696939B1 (en) 2013-03-14 2017-07-04 EMC IP Holding Company LLC Replicating data using deduplication-based arrays using network-based replication
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8996460B1 (en) 2013-03-14 2015-03-31 Emc Corporation Accessing an image in a continuous data protection using deduplication-based storage
US9383937B1 (en) 2013-03-14 2016-07-05 Emc Corporation Journal tiering in a continuous data protection system using deduplication-based storage
US9110914B1 (en) 2013-03-14 2015-08-18 Emc Corporation Continuous data protection using deduplication-based storage
US9152339B1 (en) 2013-03-15 2015-10-06 Emc Corporation Synchronization of asymmetric active-active, asynchronously-protected storage
US9081842B1 (en) 2013-03-15 2015-07-14 Emc Corporation Synchronous and asymmetric asynchronous active-active-active data access
US9244997B1 (en) 2013-03-15 2016-01-26 Emc Corporation Asymmetric active-active access of asynchronously-protected data storage
JP6245643B2 (ja) 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6017361B2 (ja) 2013-03-29 2016-10-26 東京エレクトロン株式会社 成膜方法および成膜装置
US9069709B1 (en) 2013-06-24 2015-06-30 Emc International Company Dynamic granularity in data replication
US9087112B1 (en) 2013-06-24 2015-07-21 Emc International Company Consistency across snapshot shipping and continuous replication
US9146878B1 (en) 2013-06-25 2015-09-29 Emc Corporation Storage recovery from total cache loss using journal-based replication
US9367260B1 (en) 2013-12-13 2016-06-14 Emc Corporation Dynamic replication system
US9405765B1 (en) 2013-12-17 2016-08-02 Emc Corporation Replication of virtual machines
US9158630B1 (en) 2013-12-19 2015-10-13 Emc Corporation Testing integrity of replicated storage
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9189339B1 (en) 2014-03-28 2015-11-17 Emc Corporation Replication of a virtual distributed volume with virtual machine granualarity
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9274718B1 (en) 2014-06-20 2016-03-01 Emc Corporation Migration in replication system
US10082980B1 (en) 2014-06-20 2018-09-25 EMC IP Holding Company LLC Migration of snapshot in replication system using a log
US9619543B1 (en) 2014-06-23 2017-04-11 EMC IP Holding Company LLC Replicating in virtual desktop infrastructure
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10101943B1 (en) 2014-09-25 2018-10-16 EMC IP Holding Company LLC Realigning data in replication system
US10324798B1 (en) 2014-09-25 2019-06-18 EMC IP Holding Company LLC Restoring active areas of a logical unit
US10437783B1 (en) 2014-09-25 2019-10-08 EMC IP Holding Company LLC Recover storage array using remote deduplication device
US9529885B1 (en) 2014-09-29 2016-12-27 EMC IP Holding Company LLC Maintaining consistent point-in-time in asynchronous replication during virtual machine relocation
US9910621B1 (en) 2014-09-29 2018-03-06 EMC IP Holding Company LLC Backlogging I/O metadata utilizing counters to monitor write acknowledgements and no acknowledgements
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9600377B1 (en) 2014-12-03 2017-03-21 EMC IP Holding Company LLC Providing data protection using point-in-time images from multiple types of storage devices
US10496487B1 (en) 2014-12-03 2019-12-03 EMC IP Holding Company LLC Storing snapshot changes with snapshots
US9405481B1 (en) 2014-12-17 2016-08-02 Emc Corporation Replicating using volume multiplexing with consistency group file
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9632881B1 (en) 2015-03-24 2017-04-25 EMC IP Holding Company LLC Replication of a virtual distributed volume
US9411535B1 (en) 2015-03-27 2016-08-09 Emc Corporation Accessing multiple virtual devices
US10296419B1 (en) 2015-03-27 2019-05-21 EMC IP Holding Company LLC Accessing a virtual device using a kernel
US9678680B1 (en) 2015-03-30 2017-06-13 EMC IP Holding Company LLC Forming a protection domain in a storage architecture
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10853181B1 (en) 2015-06-29 2020-12-01 EMC IP Holding Company LLC Backing up volumes using fragment files
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
TWI564425B (zh) * 2015-07-21 2017-01-01 Atomic layer oxidation and reduction of material modification process
CN105668622B (zh) * 2015-07-30 2018-01-30 四川大学 一种气相原子沉积钛白粉包膜的方法
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9684576B1 (en) 2015-12-21 2017-06-20 EMC IP Holding Company LLC Replication using a virtual distributed volume
US10235196B1 (en) 2015-12-28 2019-03-19 EMC IP Holding Company LLC Virtual machine joining or separating
US10133874B1 (en) 2015-12-28 2018-11-20 EMC IP Holding Company LLC Performing snapshot replication on a storage system not configured to support snapshot replication
US10067837B1 (en) 2015-12-28 2018-09-04 EMC IP Holding Company LLC Continuous data protection with cloud resources
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10152267B1 (en) 2016-03-30 2018-12-11 Emc Corporation Replication data pull
US10235087B1 (en) 2016-03-30 2019-03-19 EMC IP Holding Company LLC Distributing journal data over multiple journals
US10579282B1 (en) 2016-03-30 2020-03-03 EMC IP Holding Company LLC Distributed copy in multi-copy replication where offset and size of I/O requests to replication site is half offset and size of I/O request to production volume
US10235060B1 (en) 2016-04-14 2019-03-19 EMC IP Holding Company, LLC Multilevel snapshot replication for hot and cold regions of a storage system
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10235090B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Validating replication copy consistency using a hash function in a storage system
US10019194B1 (en) 2016-09-23 2018-07-10 EMC IP Holding Company LLC Eventually consistent synchronous data replication in a storage system
US10210073B1 (en) 2016-09-23 2019-02-19 EMC IP Holding Company, LLC Real time debugging of production replicated data with data obfuscation in a storage system
US10235091B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Full sweep disk synchronization in a storage system
US10146961B1 (en) 2016-09-23 2018-12-04 EMC IP Holding Company LLC Encrypting replication journals in a storage system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) * 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106449907B (zh) * 2016-11-18 2019-04-12 电子科技大学 一种p型指数掺杂结构GaN光电阴极材料的生长方法
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180079908A (ko) * 2017-01-03 2018-07-11 한전케이피에스 주식회사 터빈 블레이드 링 정렬장치
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR20180107806A (ko) 2017-03-22 2018-10-04 삼성전자주식회사 막 형성 방법, 및 이를 이용한 가변 저항 메모리 소자의 제조방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP2019145589A (ja) * 2018-02-16 2019-08-29 東芝メモリ株式会社 半導体装置の製造方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
CN108588679A (zh) * 2018-05-21 2018-09-28 中国科学院西安光学精密机械研究所 原子层沉积制备W掺杂Al2O3高阻薄膜的方法
CN108588680A (zh) * 2018-05-21 2018-09-28 中国科学院西安光学精密机械研究所 原子层沉积制备Mo掺杂Al2O3高阻薄膜的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
DE102018211499A1 (de) 2018-07-11 2020-01-16 Carl Zeiss Smt Gmbh Reflektives optisches Element und Verfahren zum Herstellen eines reflektiven optischen Elements
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022064600A1 (ja) 2020-09-24 2022-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0613317A (ja) * 1992-06-26 1994-01-21 Rikagaku Kenkyusho 縦型超格子の形成方法
JPH0714784A (ja) * 1993-06-25 1995-01-17 Res Dev Corp Of Japan サブ原子層単位で制御した結晶薄膜の製造法
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH042699A (ja) * 1990-04-18 1992-01-07 Mitsubishi Electric Corp 結晶成長方法
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3262623B2 (ja) * 1993-02-17 2002-03-04 東京エレクトロン株式会社 減圧処理方法及び装置
US5851849A (en) * 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0613317A (ja) * 1992-06-26 1994-01-21 Rikagaku Kenkyusho 縦型超格子の形成方法
JPH0714784A (ja) * 1993-06-25 1995-01-17 Res Dev Corp Of Japan サブ原子層単位で制御した結晶薄膜の製造法

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Englische Übersetzung & JP H0613317 A *
Englische Übersetzung & JP H0714784 A *
Englische Übersetzung von JP 6 013 317 A
Englische Übersetzung von JP 7 014 784 A

Also Published As

Publication number Publication date
KR20000013329A (ko) 2000-03-06
JP4057184B2 (ja) 2008-03-05
KR100275738B1 (ko) 2000-12-15
US6270572B1 (en) 2001-08-07
CN1200135C (zh) 2005-05-04
JP2000054134A (ja) 2000-02-22
DE19853598A1 (de) 2000-02-10
GB2340508B (en) 2003-03-12
GB2340508A (en) 2000-02-23
TW432119B (en) 2001-05-01
GB9826781D0 (en) 1999-01-27
CN1244598A (zh) 2000-02-16

Similar Documents

Publication Publication Date Title
DE19853598B4 (de) Dünnschichtherstellungsverfahren mit atomarer Schichtabscheidung
DE10208450B4 (de) Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
DE10049257B4 (de) Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
DE60011022T2 (de) Verfahren zur Herstellung einer Halbleiterstruktur mit einer auf Silizium stabilen kristallinen Zwischenschicht
DE10056541B4 (de) Verfahren zum Reinigen von Quarzsubstraten unter Verwendung von leitenden Lösungen
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE10123858A1 (de) Verfahren zum Bilden von Silicium-haltigen Dünnschichten durch Atomschicht-Abscheidung mittels SI2CL6 und NH3
DE112005002160T5 (de) Dünnfilmkondensator und Verfahren zum Bilden desselben sowie computerlesbares Speichermedium
DE102004020157A1 (de) Kondensator mit legierter dielektrischer Hafnium-Oxid und Aluminium-Oxid-Schicht und Verfahren zur Herstellung desselben
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
DE3526824C2 (de)
DE60225751T2 (de) Verfahren zur Herstellung von einer Mehrkomponenten-Dünnschicht
DE10319540A1 (de) Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
DE2251275A1 (de) Verfahren zum abscheiden von glasschichten
DE10236896A1 (de) Vorrichtung und Verfahren zum thermischen Behandeln von Halbleiterwafern
DE10011876A1 (de) III-V-Verbundhalbleiter
DE102013104663A1 (de) Haltestruktur für eine Barrierenschicht einer Halbleitervorrichtung
DE112020002193T5 (de) Plasmaunterstützte Abscheidung von Atomlagen bei hoher Spannung und niedrigem Druck
DE10156932A1 (de) Verfahren zur Abscheidung dünner Praseodymoxid-Schichten mittels ALD/CVD-Verfahren
DE102004040943B4 (de) Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens
DE2556503C2 (de) Verfahren zum epitaktischen Niederschlagen einer Halbleiterschicht auf einem Substrat
WO2003012840A2 (de) Verfahren und vorrichtung zum herstellen dünner epitaktischer halbleiterschichten
DE19549129C2 (de) Verfahren zur Ausbildung einer (100)-orientierten PLT Dünnschicht
DE102015205719A1 (de) Verfahren zum Beschichten von Halbleiterscheiben
DE102005053322A1 (de) Kondensator mit Zirkondioxid und Verfahren zur Herstellung desselben

Legal Events

Date Code Title Description
8128 New person/name/address of the agent

Representative=s name: PATENTANWAELTE RUFF, WILHELM, BEIER, DAUSTER & PAR

8110 Request for examination paragraph 44
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20130719

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee