DE19581483B4 - Verfahren und Vorrichtung zur Bildung von Dünnschichten - Google Patents

Verfahren und Vorrichtung zur Bildung von Dünnschichten Download PDF

Info

Publication number
DE19581483B4
DE19581483B4 DE19581483T DE19581483T DE19581483B4 DE 19581483 B4 DE19581483 B4 DE 19581483B4 DE 19581483 T DE19581483 T DE 19581483T DE 19581483 T DE19581483 T DE 19581483T DE 19581483 B4 DE19581483 B4 DE 19581483B4
Authority
DE
Germany
Prior art keywords
gas
reaction space
gas phase
reaction
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE19581483T
Other languages
English (en)
Other versions
DE19581483T1 (de
Inventor
Tuomo Suntola
Sven Lindfors
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=8541888&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE19581483(B4) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by ASM International NV filed Critical ASM International NV
Publication of DE19581483T1 publication Critical patent/DE19581483T1/de
Application granted granted Critical
Publication of DE19581483B4 publication Critical patent/DE19581483B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Abstract

Verfahren zur Bildung einer Dünnschicht auf einem Substrat, wobei ein in einem Reaktionsraum (1; 21) angeordnetes Substrat alternierend wiederholten Oberflächenreaktionen von mindestens zwei Gasphasen-Reaktanden unterworfen wird, um eine Dünnschicht zu bilden, welches umfasst:
die Reaktanden in Form von Gasphasenimpulsen wiederholt und alternierend, jeder Reaktand gesondert von seiner eigenen Quelle, in den Reaktionsraum (1; 21) einzubringen, und
die Gasphasen-Reaktanden mit der Oberfläche des Substrates zur Bildung einer Festphasendünnschicht-Verbindung auf dem Substrat zur Umsetzung zu bringen,
dadurch gekennzeichnet,
dass das Gasvolumen des Reaktionsraums zwischen zwei aufeinanderfolgenden Gasphasen-Reaktandimpulsen evakuiert wird, wobei gleichzeitig mit der Evakuierung des Reaktionsraums von den Rückständen des letzten Gasphasen-Reaktandimpulses ein inertes Gas in den Reaktionsraum (1; 21) eingebracht wird, so dass vor dem Zufluss des nachfolgenden Gasphasenimpulses die restlichen Komponenten des vorhergehenden Gasphasen-Reaktandimpulses, die in dem Reaktionsraum oder an den Wänden desselben verbleiben, in einer Menge von weniger als 1% vorhanden sind.

Description

  • Die vorliegende Erfindung betrifft ein Verfahren gemäß des Oberbegriffes von Anspruch 1 zur Bildung von Dünnschichten auf einem Substrat.
  • In dem vorliegenden Verfahren liegt das Substrat gewöhnlich in einem Reaktionsraum vor, in dem es gemäß dem Atomlagen-Abscheidungs-Verfahren (Atomic Layer Epitaxy-ALE) alternierend wiederholten Oberflächenreaktionen von mindestens zwei unterschiedlichen Reaktanden unterworfen wird. Gemäß dem vorliegenden Verfahren werden die Reaktanden wiederholt und alternierend, jeweils ein Reaktand einzeln aus seiner eigenen Quelle, in Form von Gasphasenimpulsen in den Reaktionsraum eingebracht. Die Gasphasen-Reaktanden werden hier mit der Substratoberfläche zur Bildung einer Festphasendünnschicht auf dem Substrat umgesetzt gelassen.
  • Obwohl das Verfahren am besten zur Herstellung sogenannter Verbund-Dünnschichten geeignet ist, bei der Reaktanden als Ausgangsmaterialien verwendet werden, die die Komponentenelemente der gewünschten Verbund-Dünnschicht enthalten, kann es auch zur Bildung elementarer Dünnschichten verwendet werden. Hinsichtlich gewöhnlich im Stand der Technik verwendeter Verbundschichten, kann Bezug genommen werden auf ZnS-Schichten, die in elektrolumineszenten Anzeigen Verwendung finden, wobei derartige Schichten auf einem Glassubstrat unter Verwendung von Zinksulfid und Schwefelwasserstoff als Reaktanden in dem Herstellungsprozess verwendet werden. Hinsichtlich elementarer Dünnschichten kann Bezug genommen werden auf Siliziumdünnschichten.
  • Die Erfindung betrifft auch eine Vorrichtung gemäß dem Oberbegriff von Anspruch 12, die zur Herstellung von Dünnschichten geeignet ist.
  • Die Vorrichtung umfasst einen Reaktionsbereich, in den das Substrat angeordnet werden kann und mindestens zwei Reaktandenquellen, von denen die in dem Verfahren zur Bildung von Dünnschichten verwendeten Reaktanden in Form von Gasphasenimpulsen in den Reaktionsbereich eingebracht werden können. Die Quellen werden mit dem Reaktionsbereich über Reaktandenzuflussleitungen verbunden und Abflussleitungen werden an den Reaktionsbereich angebracht, um die die gasförmigen Reaktionsprodukte des Verfahrens zur Bildung von Dünnschichten einzubringen sowie überschüssige Reaktanden in der Gasphase zu entfernen. Gewöhnlich werden Dünnschichten unter Verwendung von Vakuumverdampfungsabscheidung, der Molekularstrahl-Epitaxie (Molecular Beam Epitaxy (MBE)) und anderer Vakuumabscheidungsverfahren, gebildet, unterschiedlichen Varianten des Chemischen Dampfphasenabscheidungs-Verfahrens (Chemical Vapor Deposition (CVD)), einschließlich Niederdruck und metallorganischem CVD und plasma-verstärktem CVD, oder, alternativ, des vorstehend beschriebenen Abscheidungsverfahrens von alternierend wiederholten Oberflächenreaktionen, das Atomic Layer Epitaxy (ALE)-Verfahren genannt wird. In den MBE- und CVD-Verfahren wird, neben anderen Verfahrensvariablen, die Bildungsgeschwindigkeit von Dünnschichten auch durch die Konzentrationen des Zuflusses des Ausgangsmaterials beeinflusst. Um daher eine einheitliche Dicke der Schichten, die gemäß der ersten Kategorie herkömmlicher Verfahren abgeschieden wurden, zu erreichen, müssen die Konzentrationen und Reaktivitäten der Ausgangsmaterialien über den Substratbereich sorgfältig konstant gehalten werden. Werden die Ausgangsmaterialien vor Erreichen der Substratoberfläche vermischt, wie es beispielsweise bei dem CVD-Verfahren der Fall ist, können diese vorzeitig miteinander reagieren. Folglich ist das Risiko der Bildung von Kleinstteilchen bereits in den Zuflussleitungen der gasförmigen Reaktanden vorhanden. Derartige Kleinstteilchen haben für die Qualität der Bildung der Dünnschicht schwerwiegende Folgen. Die Möglichkeit vorzeitiger Reaktionen in MBE- und CVD-Reaktoren wird daher dadurch verhindert, dass die Ausgangsmaterialien nicht vor der Substratoberfläche erhitzt werden. Zusätzlich zum Erhitzen kann die gewünschte Reaktion unter Verwendung von beispielsweise Plasma oder anderen, ähnlich aktivierenden Mitteln initiiert werden.
  • In den MBE- und CVD-Verfahren wird die Bildung von Dünnschichten hauptsächlich durch Steuern der Zuflussmengen der Ausgangsmateriahen reguliert, die auf das Substrat auftreffen. Ein derartiges CVD-Verfahren zur Bildung von Dünnschichten auf Halbleitern wird beschrieben in der Patentschrift EP-0-559-326 B1 . Im Gegensatz dazu basiert das ALE-Verfahren darauf, dass die Abscheidung über die Oberflächenbeschaffenheit anstatt über die Konzentrationen des Ausgangsmaterials oder der Zuflussvariablen der Substratoberflächenqualität, gesteuert wird. Die einzige Voraussetzung in dem ALE-Verfahren besteht darin, dass das Ausgangsmaterial zur Bildung von Dünnschichten in ausreichender Konzentration auf allen Seiten des Substrates vorhanden ist.
  • Das ALE-Verfahren ist in den FI-Patentveröffentlichungen 52,359 und 57,975 , den US-Patentschriften US-4-058-430 A , US-4-389-973 A , US-4-975-252 A und US-5-503-105 A , sowie in der deutschen Patentschrift DE 37-43938 C2 beschrieben, in denen auch einige Ausführungen für eine Vorrichtung offenbart sind, die zur Durchführung dieses Verfahrens geeignet sind. Vorrichtungskonstruktionen zur Bildung von Dünnschichten sind auch in den folgenden Veröffentlichungen zu finden: Material Science Reports 4(7) (1989), S. 261, und Tyhjiöte-kniikka (finnische Publikation für Vakuumtechniken), ISBN 951-794-422-5, S. 253–261.
  • In dem ALE-Verfahren werden Atome oder Moleküle so angeordnet, dass sie die Substrate überstreichen und somit kontinuierlich auf deren Oberfläche aufprallen, so dass eine vollständig gesättigte molekulare Schicht darauf gebildet wird. Gemäß den aus der FI-Patentveröffentlichung 57,975 bekannten konventionellen Techniken folgt auf den Sättigungsschritt ein Impuls mit einem Inertgas, das eine Diffusionsbarriere bildet, die überschüssiges Ausgangsmaterial und die gasförmigen Reaktionsprodukte oberhalb des Substrates entfernt. Die aufeinander folgenden Impulse der unterschiedlichen Ausgangsmaterialien und Diffusionsbarrieren eines Inertgases, das die vorher genannten voneinander trennt, bewirken die Bildung der Dünnschicht in einer Geschwindigkeit, die durch die chemischen Oberflächeneigenschaften der unterschiedlichen Materialien gesteuert wird. Ein derartiger Reaktor wird „Wanderwellen”-Reaktor genannt. Zur Durchführung des Verfahrens ist es nicht von Bedeutung, ob die Gase oder die Substrate bewegt werden. Es ist vielmehr wichtig, dass die unterschiedlichen Ausgangsmaterialien der nachfolgenden Reaktionsschritte voneinander getrennt werden und so angeordnet werden, dass sie nacheinander auf das Substrat treffen.
  • Die meisten Vakuumverdampfer arbeiten nach dem sogenannten „Einzelschuss”-Prinzip. Hier kann eine verdampfte Atom- oder Molekül-Spezies auf dem Substrat nur einmal auftreffen. Tritt keine Reaktion der Spezies mit dem Substrat ein, wird die Spezies zurückgeworfen oder erneut verdampft, so dass es die Wände der Vorrichtung trifft oder die Einlassöffnung zur Vakuumpumpe, wo sie kondensiert. In Reaktoren mit heißen Wänden kann eine Atom- oder Molekül-Spezies, die auf die Reaktorwand oder das Substrat trifft, erneut verdampft werden, wobei vorteilhafte Bedingungen für wiederholtes Auftreffen der Spezies auf das Substrat gebildet werden. Bei Anwendung auf ALE-Reaktoren kann dieses „Mehrschuss”-Prinzip unter anderem eine verbesserte Materialverwendungsausnutzung liefern.
  • Eine kennzeichnende Eigenschaft von herkömmlichen ALE-Vorrichtungen ist, dass die unterschiedlichen Ausgangsmaterialien der Reaktion voneinander mittels einer Diffusionswand isoliert wird, die durch einen Bereich eines Inertgases gebildet wird, der zwischen zwei aufeinanderfolgenden Impulsen der Ausgangsmaterialien wandert (siehe beispielsweise die vorstehend aufgeführte FI-Patentveröffentlichung 57,975 und die entsprechende US-4,389,973 A ). Die Länge des Inertgas-Bereiches, die als hinunterströmende Diffusionswand wirkt, ist dergestalt, dass nur etwa ein Millionstel der Moleküle des Reaktandengases eine ausreichende Diffusionsgeschwindigkeit aufweist, um unter den vorherrschenden Bedingungen in einer gegen den Strom gerichteten Richtung auf einer Strecke zu wandern, die größer ist als die Dicke der in dem Verfahren verwendeten isolierenden Diffusionswand.
  • Trotz der hohen Verlässlichkeit der vorstehend beschriebenen Anordnung weist diese jedoch einige Nachteile auf. Beispielsweise variieren die Querschnitte und Formen von Leitungen in praktischen Reaktorkonstruktionen zwischen beispielsweise den Zuflussrohren und den Substraten, wobei die Dicke und die Form der Diffusionswand schwer steuerbar wird, und die Ausgangsmaterialien hindurchgelangen und jeweils miteinander in Kontakt treten können. Weiterhin kann die Diffusionswand in den Düsen, die die Gasphasen-Reaktanden zu den Substraten führen, in Gasmischern oder an anderen Diskontinuitätspunkten der Leitung zerstört werden. Die Laminarität des Gaszuflusses kann zudem durch ein zu starkes Biegen der Leitung gestört werden.
  • Ein Vermischen von Ausgangsmaterialien in Strömungssystemen kann nicht einfach dadurch verhindert werden, dass die Gasvolumina voneinander getrennt gehalten werden, da ein Vermischen auch aufgrund der Adhärenz von Molekülen eines Ausgangsmaterial-Impulses an den Vorrichtungswänden oder Ungleichmäßigkeiten davon vorkommen kann, wodurch die Moleküle dann mit den Molekülen des nachfolgenden Ausgangsmaterial-Impulses Zutritt erlangen können.
  • Eine Aufgabe der vorliegenden Erfindung ist es, die Nachteile der herkömmlichen Technik zu umgehen und eine vollständig neue Anordnung zur Bildung von Dünnschichten zu liefern.
  • Die Aufgabe der Erfindung wird dadurch gelöst, dass Gasphasenimpulse von Ausgangsmaterial-Reaktanden in den ALE-Reaktor eingebracht werden, so dass jeder Ausgangsmaterial-Impuls für sich durch die Leitung und den Reaktionsraum der Vorrichtung, isoliert von den anderen Impulsen, geleitet wird. Erfindungsgemäß wird dieses Konzept mittels im Wesentlichen vollständigem Spülen des Gasvolumens des Reaktionsraumes, der das reaktive Gas enthält, zwischen zwei aufeinander folgenden Gasphasenimpulsen, erreicht, was eine Spülwirksamkeit von mindestens 99%, vorzugsweise 99,99% bedeutet. Das gesamte reagierende Gas, was in der Praxis das gesamte, mit dem Gasphasen-Reaktanden gefüllte Gasvolumen betrifft, wird aus dem Reaktionsraum zwischen den aufeinander folgenden Impulsen gespült. Die Reaktanden-Impulse der unterschiedlichen Ausgangsmaterialien werden daher voneinander getrennt gehalten, wobei kein Mischen der Reaktanden erfolgen kann.
  • In der zur Durchführung des Verfahrens geeigneten Vorrichtung werden die Abflussleitungen mit einer Pumpe verbunden, die zur Evakuierung des Reaktionsraumes auf Vakuum befähigt ist, wobei die Pumpenkapazität ausreichend hoch ausgelegt ist, um eine vollständige Evakuierung einer volumetrischen Gasmenge, die dem Gasvolumen des Reaktionsbereiches entspricht, aus dem Reaktionsbereich, während des Intervalls zwischen zwei aufeinander folgenden Gasphasen-Reaktandimpulsen, zu ermöglichen. Infolgedessen muss die Pumpe eine volumetrische Strömungskapazität pro Zeiteinheit aufweisen, vorteilhaft über das Intervall zwischen zwei aufeinander folgenden Gasphasen-Reaktandimpulsen, die größer ist als das Gasvolumen des Reaktionsbereiches.
  • Das erfindungsgemäße Verfahren ist insbesondere hauptsächlich durch das gekennzeichnet, was in dem kennzeichnenden Teil von Anspruch 1 aufgeführt ist.
  • Darüber hinaus ist die erfindungsgemäße Vorrichtung hauptsächlich dadurch gekennzeichnet, was in dem kennzeichnenden Teil von Anspruch 12 aufgeführt ist.
  • Im Hinblick auf die vorliegende Erfindung wird der Ausdruck „Evakuierung” verwendet, um generell die Entfernung von Reaktandenresten in der Gasphase zu bezeichnen. Die Evakuierung des Reaktionsbereiches kann durch Spülen des Gasvolumens der Vorrichtung mittels mindestens einem Pumpzyklus erreicht werden, der zur Senkung des inneren Druckes in der Vorrichtung auf ein ausreichend hohes Vakuum befähigt ist. Wenn erforderlich, kann die Vorrichtung gleichzeitig mit einem inaktiven Gas gefüllt werden, die das Spülen der Reaktandenreste aus dem Reaktionsbereich fördert.
  • In dem hier vorliegenden Zusammenhang wird der Ausdruck „Inaktives” Gas verwendet, um ein Gas zu bezeichnen, das in den Reaktionsbereich eingelassen wird und das dazu befähigt ist, unerwünschte Reaktionen hinsichtlich der Reaktanden bzw. des Substrates zu verhindern. Derartige Reaktionen beinhalten beispielsweise die Reaktionen der Reaktanden und des Substrats mit möglichen Verunreinigungen. Das inaktive Gas dient zudem dazu, Reaktionen zwischen den Substanzen der unterschiedlichen Reaktandengruppen in beispielsweise der Zuflussleitung zu verhindern. In dem erfindungsgemäßen Verfahren wird das inaktive Gas zudem vorteilhafterweise als Trägergas der Gasphasen-Reaktandimpulse verwendet. Gemäß einer bevorzugten Ausführungsform, in der die Reaktanden der unterschiedlichen Reaktandengruppen über getrennte Einlassverteiler in den Reaktionsbereich gelassen werden, wird der Gasphasen-Reaktandimpuls aus einer Zuflussleitung zugeführt, während das inaktive Gas aus einer anderen Zuflussleitung zugeführt wird, wobei verhindert wird, dass der zugeführte Reaktand in die Zuflussleitung eines anderen Reaktanden gelangt. Hinsichtlich der inaktiven Gase, die für die Verwendung in dem Verfahren geeignet sind, kann Bezug genommen werden auf Inertgase wie Stickstoffgas und Edelgase, beispielsweise Argon. Das inaktive Gas kann zudem ein eigentlich reaktives Gas sein, wie Wasserstoffgas, das zur Verhinderungen unerwünschter Reaktionen (beispielsweise Oxidationsreaktionen) auf der Substratoberfläche dient.
  • Erfindungsgemäß beinhaltet der Ausdruck „Reaktionsraum” sowohl den Raum, in dem das Substrat angeordnet ist, als auch den Raum, in dem die Gasphasen-Reaktanden zur Bildung von Dünnschichten mit dem Substrat umgesetzt werden (d. h. die Reaktionskammer), sowie die Gaszufluss-/-abflussleitungen, die unmittelbar mit der Reaktionskammer in Verbindung stehen, wobei die Leitungen zum Einbringen der Reaktanden in die Reaktionskammer (Zuflussleitungen) oder Entfernen gasförmiger Reaktionsprodukte des Verfahrens zur Bildung von Dünnschichten und überschüssiger Reaktanden aus der Reaktionskammer (Abflussleitungen) dienen. Gemäß der Konstruktion einer Ausführungsform kann die Zahl der Zufluss- bzw. Abflussleitungen von eins aufwärts variiert werden. Erfindungsgemäß ist der Reaktionsraum das Gesamtvolumen, das zwischen zwei aufeinander folgenden Gasphasenimpulsen evakuiert werden soll.
  • In dem vorliegenden Zusammenhang betrifft der Ausdruck „Reaktand” ein verdampfbares Material, das mit der Substratoberflache reagieren kann. In dem ALE-Verfahren werden gewöhnlich Reaktanden verwendet, die zwei verschiedenen Gruppen angehören. Die Reaktanden können Feststoffe, Flüssigkeiten oder Gase sein. Der Ausdruck „metallische Reaktanden” wird für metallische Verbindungen verwendet, die sogar elementare Metalle sein können. Geeignete metallische Reaktanden sind die Metallhalogenide, einschließlich beispielsweise Chloride und Bromide, und metallorganische Verbindungen, wie die thd-Komplexverbindungen. Als Beispiele für metallische Reaktanden können Zn, ZnCl2, TiCl4, Ca(thd)2, (CH3)3Al und Cp2Mg genannt werden. Der Ausdruck „nichtmetallische Reaktanden” wird für Verbindungen und Elemente verwendet, die zur Umsetzung mit metallischen Verbindungen befähigt sind. Die zuletzt genannte Gruppe ist zweckmäßig durch Wasser, Schwefel, Schwefelwasserstoff und Ammoniak dargestellt.
  • Der Ausdruck „Substratoberfläche” wird hier verwendet, um die Oberfläche des Substrates zu bezeichnen, auf die der in die Reaktionskammer strömende Gasphasen-Reaktand zuerst trifft. In der Praxis besteht diese Oberfläche während des ersten Zyklus des Verfahrens zur Bildung der Dünnschicht aus der Oberfläche des Substrates, wie beispielsweise Glas. Während des zweiten Zyklus besteht die Oberfläche aus der Schicht, die das Festphasenreaktionsprodukt umfasst, die durch die Reaktion zwischen den Reaktanden abgeschieden wurde und an dem Substrat haftet, usw.
  • Wie vorstehend erwähnt, werden die Gasphasen-Reaktanden in einer praktischen Ausführungsform durch einen Trägergasstrom in herkömmlicher Art und Weise in die Reaktionskammer eingebracht und weiter durch diese hindurch geführt. Der Gasphasen-Reaktand aus einer Quelle wird daher mit dem Inertgasstrom an einem Punkt der Vorrichtung vermischt. In der vorliegenden Ausführungsform beinhaltet der Ausdruck „Reaktionsraum-Gasstromleitungen” auch den Teil der Reaktand-Zuflussleitungen, der nach den Steuerungsventilen des inaktiven Gasstromes angeordnet ist.
  • Eine kennzeichnende Eigenschaft der vorliegenden Erfindung besteht darin, dass die verschiedenen Ausgangsmaterialien nicht gleichzeitig in der Leitung oder dem Reaktor (Reaktionsraum) strömen gelassen werden, sondern dass die Leitung und der Reaktionsraum von dem Inhalt des vorhergehenden Gasphasenimpulses vor dem Einbringen des nächsten Gasphasenimpulses evakuiert wird. Das Intervall zwischen den aufeinander folgenden Impulsen wird vorteilhaft so lang gehalten, dass die Evakuierung des Reaktionsraumes unter Verwendung von mindestens einem doppelten oder dreifachen Volumen an Spülgas wahrend des Intervalls zwischen den Impulsen ermöglicht wird. Um eine maximal wirksame Evakuierung von Reaktanden-Rückständen zu erreichen wird der Reaktionsraum während des Intervalls zwischen den Reaktandimpulsen mit einem inaktiven Gas gespült, wobei das Gesamtvolumen an während des Intervalls zwischen den Reaktandimpulsen aus dem Reaktionsraum evakuierten Gases mindestens zwei- bis zehnmal das Volumen des Reaktionsraumes beträgt. Ein erwünschter Zielwert von weniger als 1%, vorteilerhafterweise weniger als 1% an verbleibenden Komponenten des vorhergehenden Gasphasen-Reaktandimpulses, der bei der Beschickung mit dem nächsten Impuls verbleibt, kann für die Evakuierungswirksamkeit festgelegt werden. Ein erfindungsgemäßer Betrieb kann leicht eine Situation erreichen, bei der der Reaktionsraum auf weniger als 1 ppm an Reaktand-Rückständen aus dem vorhergehenden Impuls gespült wird.
  • Erfindungsgemäß wird die Evakuierung vorteilhafterweise durch Verbinden des Reaktionsraumes mit einer Pumpe erreicht, deren Volumenkapazität während des Intervalls zwischen zwei aufeinanderfolgenden Gasphasen-Reaktandimpulsen erheblich größer ist als das Gasvolumen des Reaktionsbereiches. Da das Intervall zwischen zwei aufeinander folgenden Reaktandengas-Impulsen gewöhnlich im Bereich von 1 Sek. liegt, kann dieses Erfordernis durch Verbinden einer derartigen Pumpe mit dem Reaktionsbereich erreicht werden, die eine ausreichende Kapazität aufweist, um während des Intervalls eine Volumenmenge an Gas zu evakuieren, die vorteilhafterweise mindestens zwei- bis dreimal und besonders vorteilhaft vier- bis zehnmal das Volumen des Reaktionsraumes beträgt.
  • Die Erfindung kann unter Verwendung jeder geeigneten Pumpe durchgeführt werden, die ein ausreichendes Vakuum in dem Reaktionsbereich schafft und eine ausreichende Kapazität aufweist. Beispiele für geeignete Pumpentypen sind: Umlaufvakuumpumpe, Walzkolbenpumpe und Turbopumpe.
  • Um eine ausreichende Evakuierung zu erreichen, besitzt die erfindungsgemäße Vorrichtung ein Merkmal, das durch auf ein Minimum beschränkte Volumina und Leitungsquerschnitte gekennzeichnet ist, die in einer Konstruktion mit einer auf ein Minimum beschränkten Zahl von Nähten verwirklicht sind. Die Leitungsausgestaltung zielt darauf ab, jegliche Strukturen zu vermeiden, die die Laminarität des Strommusters stören konnten oder als schwer spülbare Gastaschen wirken.
  • In herkömmlichen Ausrüstungskonstruktionen sind die vorstehend beschriebenen Ziele schwierig zu erreichen, da die Gasvolumina in der Ausrüstung im Verhältnis zu dem durch die Produkte besetzten Volumina relativ groß sind und der Gasstrom über komplizierte Wege erfolgt. Es ist klar, dass aufgrund lediglich einer Diffusionswand nicht alle darin enthaltenen Gastaschen gespült werden können. Die Probleme werden in einer Ausrüstung, die gleichzeitig zum Wachstum einer Dünnschicht auf mehreren Substraten ausgerichtet ist, noch verstärkt.
  • Die vorliegende Erfindung offenbart viele spezielle Eigenschaften, die dazu beitragen, das Gasvolumen der Vorrichtung und die Neigung zur Bildung von abblätternden Schichten (Kleinstteilchen) auf ein Minimum zu reduzieren. Die Erfindung liefert gleichzeitig eine besonders vorteilhafte Ausführungsform einer Vorrichtung, die zur gleichzeitigen Abscheidung von Dünnschichten auf zwei oder mehreren Substraten geeignet ist.
  • Eine vorteilhafte Vorgehensweise zur Reduzierung der Verunreinigung der Ausrüstung besteht darin, jede Reaktandengruppe über getrennte Zuflussleitungen direkt in die Kammer zu bringen. Der Reaktand wird vorzugsweise mit einem Trägergasstrom, der aus der Zuflussleitung einer anderen Reaktandengruppe kommt, vor dem Inkontakttreten des Reaktanden mit dem Substrat gemischt. Der Zweck eines derartigen Mischens ist es, den Gasstrom, der über das Substrat streicht, zu homogenisieren.
  • Die vorstehend beschriebene Ausführungsform ist insbesondere für Verfahren zur Bildung von Dünnschichten gut geeignet, wobei mindestens zwei Verbindungskomponenten-Reaktanden verwendet werden. Die Ausgangsenden der Zuflussleitungen der verschiedenen Reaktandengruppen, später im Text als Reaktand„Einströmöffnungen” bezeichnet, sind so angepasst, dass sie sich nahe des Substrats der Strukturen der Dünnschicht in die Reaktionskammer öffnen. Zwischen den Einströmöffnungen wird hier eine Aufprallplatte angeordnet, die verhindert, dass der Reaktandenzufluss aus einer Einströmöffnung direkt in die Einströmöffnung eines anderen Reaktanden, der zu einer anderen Reaktandengruppe gehört, gelangt. Um das Risiko der Reaktandenverunreinigung zu eliminieren wird hierzu besonders vorteilhaft ein Trägergasstrom durch die Zuflussleitung oder -leitungen geführt, welche in diesem Moment nicht für das Einbringen eines Reaktanden verwendet wird/werden. Die Reaktanden-Einströmöffnungen werden vorzugsweise auf gegenüberliegenden Seiten der Aufprallplatte angeordnet und die einströmenden Reaktanden werden senkrecht auf die Platte gerichtet, wobei sich der Gasstrom in einer im wesentlich planaren Strömung verteilt und ein „abgeflachtes” Strömungsmuster hervorruft. Der Trägergasstrom und der Dampfphasen-Reaktandenstrom, welche von entgegengesetzten Richtungen kommen und durch Auftreffen auf die Aufprallplatte abgeflacht sind, werden vereinigt, bevor ihre gemischte Strömung mit dem Substrat in Kontakt tritt. Es wurde gefunden, dass das Vermischen unterschiedlicher Spezies durch Diffusion zwischen den abgeflachten Gasströmen äußerst wirksam ist, was zu einer ausgezeichneten Einheitlichkeit des zu dem Substrat gebrachten Gasstromes führt.
  • Gemäß einer alternativen Ausführungsform wird das Gasvolumen der Vorrichtung auf ein Minimum reduziert, indem die Gasstromleitungen, die mit der Reaktionskammer in Kontakt stehen, einen engen, länglichen Querschnitt aufweisen, um das Volumen in dem Reaktionsbereich auf ein Minimum zu reduzieren. Die Gasstromleitungen besitzen daher eine „flache” Form, die ein ähnlich abgeflachtes Gasstrommuster hervorrufen kann, wie in der Anordnung der vorstehend beschriebenen Ausführungsform.
  • Die erfindungsgemäßen flachen Gasstromleitungen weisen gewöhnlich einen Querschnitt mit einer Weite (orthogonal zu der Flussrichtung der Gasimpulsfront) von etwa ein- bis hundertmal mehr als die Leitungshöhe auf. Das Weite-zu-Höhe-Verhältnis beträgt vorteilhaft etwa 5:1–50:1, gewöhnlich etwa 30:1–5:1.
  • In beiden der vorstehend beschriebenen Ausführungsformen ist die Reaktionskammer, die das Substrat beinhaltet, insbesondere vorteilhaft angeordnet, damit die Kammerwände nahe an dem zu verarbeitenden Substrat liegen. Die innere obere Kammerwand liegt vorteilhaft parallel zu der oberen Substratoberfläche. Tatsächlich kann, wie vorstehend erwähnt, die innere obere Kammerwand aus einem anderen Substrat bestehen. Eine Minimierung des Gasvolumens in der Vorrichtung verbessert die Einsatzeffizienz der Reaktanden, da ein einzelner Reaktionsraum gleichzeitig zur Bildung von Dünnschichten auf mindestens zwei Substraten verwendet werden kann. Erfindungsgemäß kann diese Anordnung dadurch erreicht werden, dass die Substrate in getrennte Reaktionskammern überführt werden, die vertikal oder horizontal gestapelt sind, um eine Reaktionskammer-Packung zu liefern, in der die Kammern herkömmliche Gasstromleitungen aufweisen, um das Gesamtvolumen des Reaktionsbereiches auf ein Minimum zu beschränken. Die Zahl der vertikal oder horizontal gestapelten Reaktionskammern kann 2 bis 100 betragen und, da jede der Kammern zur Verarbeitung von mindestens zwei Substraten gleichzeitig verwendet werden kann, kann die Gesamtzahl an Oberflächen mit Dünnschichten, die verarbeitet werden, beispielsweise im Bereich von 2 bis 200 variiert werden.
  • Gemäß einer besonders vorteilhaften Ausführungsform umfasst die erfindungsgemäße Vorrichtung vertikal oder horizontal gestapelte planare Elemente, wobei die Elemente Vertiefungen/Furchen aufweisen, die den Reaktionskammern entsprechen und Gasstromleitungen, die zu diesen führen, wobei mindestens eine Anzahl dieser Elemente identisch sind. Die Eckbereiche der planaren Elemente werden mit runden oder alternativ länglichen Kerben und Öffnungen versehen, die sich durch die planaren Elemente erstrecken und die Gasstromleitungen des Reaktionsraumes bilden, wenn die planaren Elemente vertikal oder horizontal gestapelt werden, um eine Reaktionskammer-Packung zu bilden. Die Zahl der runden Öffnungen auf der Seite des Reaktandenzuflusses beträgt vorzugsweise eins pro jeweiliger Reaktandengruppe, was in der Praxis zwei Öffnungen bedeutet. Die Zahl der erforderlichen länglichen Öffnungen auf der Zuflussseite beträgt nur eins.
  • Die zentralen Teile der planaren Elemente können mit Bereichen versehen werden, die bezüglich der Elementebene vertieft sind, so dass die Vertiefungen an deren Reaktandenzufluss- bzw. -abflussseiten mit den Kerben oder Öffnungen verbunden sind. Die vertieften Bereiche bilden die Reaktionskammer des Reaktionsbereiches oder einen Teil davon. Die Strömungsverbindungen zwischen den vertieften Bereichen und den Gasstromleitungen wirken als Beschränkung für die Gasströme. Die vertieften Bereiche des Elementes können so tief gemacht werden, dass sie sich durch die gesamte Dicke des planaren Elementes erstrecken und das zentrale Element offen lassen. Vorteilhafterweise entsprechen die inneren Ecken der vertieften Bereiche entlang mindestens zwei gegenüberliegenden Seiten der Vertiefungen den Ecken der Substrate, wobei die Anordnung der Substrate in den Vertiefungen ermöglicht wird. Wenn gewünscht, können die inneren Kanten der Vertiefungen mit Trägern versehen werden, die zur Fixierung des Substrates dienen. Im letztgenannten Fall sind die breiten Wände der Reaktionskammer-Packung aus Substraten gebildet, die in der zentralen Öffnung der planaren Elemente angeordnet sind, wobei die Substrate so ausgerichtet werden können, dass sich beispielsweise die Substratoberflächen gegenüberliegen.
  • Die vorstehend beschriebenen Vorrichtungskonstruktionsdaten ermöglichen eine Gewichtsreduzierung des Reaktionsraumes und Reduzierung der Komponentenzahl in dem System auf ein Minimum. Die Länge der Gaszufluss- bzw. Gasabflussleitungen kann reduziert werden, indem der Reaktionsbereich so ausgestaltet wird, dass er vertikal oder horizontal gestapelte Reaktionsräume umfasst. Dies trifft insbesondere für den letztgenannten Fall zu, bei dem die Substrate selbst als breite Wände der Reaktionskammern dienen. Die Erfindung liefert erhebliche Vorteile bezüglich den ALE-Reaktoren des Standes der Technik. Das Impulskonzept der Ausgangsmaterialien, das darauf basiert, dass niemals zwei oder mehr unterschiedliche Ausgangsmaterialspezies gleichzeitig in das System transportiert werden, isoliert daher die Ausgangsmaterialien effektiv voneinander, wodurch deren vorzeitige gegenseitige Reaktion verhindert wird. Sollten derartige Reaktionen in der Gasphase erfolgen, würde eine CVD-Bildung einer Dünnschicht resultieren, wobei der Reaktor sich von den Betriebsbedingungen des ALE-Verfahrens unterscheidet und wobei der Reaktor nicht mehr ALE-Reaktor genannt werden kann. Tatsächlich verursachen die CVD-Bedingungen für die Bildung einer Dünnschicht in herkömmlichen ALE-Reaktoren häufig die Bildung/Abblättern von schädlichem Kleinstteilchenstaub.
  • Erfindungsgemäß wird das Risiko von CVD-Bildung einer Dünnschicht dadurch eliminiert, was eine tatsächlich oberflächenkontrollierte Bildung einer Dünnschicht nach sich zieht und infolgedessen ausgezeichnete ALE-Verfahrensqualitäten. Die erfindungsgemäße Vorrichtung realisiert tatsächlich die getrennten Reaktionsschritte, die ein wirkliches ALE-Verfahren kennzeichnen.
  • Die auf ein Minimum reduzierten Oberflächenbereiche und Volumina führen zudem die Menge an äußerst dünner Schichtbildung in den Leitungen auf ein Minimum zurück, wodurch die Menge an Staub/Abblättern und das Erfordernis zur Reinigung vermindert wird. Das kleine Gasvolumen mit optimierter Fluiddynamik beschleunigt den Durchfluss der Gase und verbessert das Spülen von Gasen, die an den Reaktionen teilnehmen, was durch einen schnelleren Verfahrensablauf und eine verbesserte Qualität der Dünnschicht gezeigt ist.
  • Die Evakuierungsschritte und mögliche Komplementierungsschritte des Spülens mit einem inaktiven Gas tragen weiter zu einer wirksamen Entfernung von Molekülen bei, die an den inneren Wänden des Systems adsorbiert sind und verringern daher die Neigung der Moleküle zur Umsetzung mit der Molekülspezies des nachfolgenden Reaktandenimpulses.
  • Im Folgenden wird die Erfindung ausführlich unter Bezug auf die beigefügten Zeichnungen erläutert, in denen:
  • 1 ein longitudinaler Schnitt der Seitenansicht einer vereinfachten Struktur für die Reaktionskammer-Packung einer ersten erfindungsgemäßen Ausführungsform ist; und
  • 2 ein longitudinaler Schnitt einer Seitenansicht einer vereinfachten Struktur für die Reaktionsraumkonstruktion einer zweiten erfindungsgemäßen Ausführungsform ist.
  • Unter Bezugnahme auf 1 umfasst die hier gezeigte Vorrichtungskonstruktion einen Reaktionsbereich oder eine Reaktionskammer-Packung 1, die aus jeweils identischen, gestapelten, planaren Elementen 10 zusammengesetzt ist, in der die Gasstromleitungen 7, 4 und die Reaktionskammern 13 durch Öffnungen und Kerben in den planaren Elementen gebildet werden. Die Vorrichtung soll vier Reaktionskammern 13 beinhalten, die acht Substrate 12 darin enthalten, auf denen unter Verwendung des ALE-Verfahrens Dünnschichten gebildet werden. Das Bezugszeichen 3 bezeichnet die Verbindung der Reaktionskammer-Packung zu einer Leitung, die mit der Eingangsöffnung zu einer Pumpe in Verbindung steht. Die Verbindung steht mit der Abflussleitung 4 der Gasphasen Reaktionsprodukte und überschüssiger Reaktanden in Verbindung, wobei die Abflussleitung als Sammelleitungen für den Abfluss aus den Reaktionskammern dient. Das Bezugszeichen 2 bezeichnet daher die Einströmöffnung für die Gasphasen-Reaktanden, wobei die Einströmöffnung weiter mit der Reaktandenzuflussleitung 7 in Verbindung steht.
  • Die planaren Elemente werden mit einer umlaufenden Saugnut 5 zum Sammeln von ausgetretenem Gas versehen. Die Saugnut steht mit der Abflussleitung 4 in Verbindung. Der Zweck der Saugnut besteht darin, den Zutritt externer Verunreinigung in den Reaktionsraum zu verhindern und ein Austreten der Reaktanden aus dem Reaktionsraum zu verhindern. Die Nut dient daher als eine isolierende Gasdichtung für den Reaktionsraum.
  • Beim Stapeln der planaren Elemente wird eine Zwischenplatte 6 zwischen jeweils zwei übereinanderliegenden Elementen angeordnet, die zur Steuerung der Beschränkung des Gasstromes geeignet ist, indem der Querschnitt des Einlassschlitzes 8 aus der Zuflussleitung 7 in die Reaktionskammer 13 und der Querschnitt des Auslassschlitzes festgesetzt werden, d. h. eine Gasstrombeschränkung aus der Reaktionskammer zu der Ausströmleitung 4.
  • Die obere Hälfte des obersten Teiles der Reaktionskammer dient als obere Platte 9 der Reaktionskammer-Packung und die untere Hälfte des unteren Bereiches der Reaktionskammer dient daher als Bodenplatte 11, die auf einer Trägerbasis 17 befestigt ist. Zwischen der Deckplatte und der Bodenplatte werden drei jeweils identische, planare Elemente 10 gestapelt. Jedes planare Element bildet zuerst zusammen mit dem Substrat 13 die Wand zwischen zwei nebeneinander gestapelten Reaktionskammern, und zweitens zusammen mit den Zwischenplatten 6 und anderen Hilfsplatten die Zufluss- und Abflussleitungen 7, 4. Die Zahl planarer Elemente kann im Bereich von 0 bis 100 Stück variieren.
  • Die Zufluss-/Abflussleitungen 7, 4 und die Reaktionskammern sind so ausgestaltet, dass sie longitudinal einen engen, rechteckigen Querschnitt aufweisen, um einen „abgeflachten” Gasstrom zu erleichtern und das Volumen des Reaktionsbereiches zu minimieren.
  • In der in 1 gezeigten Ausführungsform werden die Gasphasen-Reaktandenimpulse der unterschiedlichen Reaktandengruppen alternierend in die Zuflussleitung 7 eingebracht. Vor dem Einbringen werden die einströmenden Gasimpulse mit einem inaktiven Gasstrom in der Zuflussleitung 7 oder vorher homogenisiert. In der Zuflussleitung, in dem Diagramm als longitudinaler Schnitt gezeigt, strömt der Gasphasen-Reaktandimpuls abgeflacht in eine planare Form, die sich entlang der Leitung mit einer definierten Vorderfront fortsetzt. Die Strömungsfront besitzt eine Weite, die der des Substrates entspricht, was beispielsweise etwa 10 bis 30 cm beträgt, während die Dicke der Front etwa 1 bis 3 cm beträgt.
  • Der Strom, der in der Zuflussleitung strömt, wird unter den parallel gestapelten Reaktionskammern 13 gleichmäßig verteilt, indem der Reaktionsraum mit seinen Gasstrombeschränkungen so bemessen wird, dass die Strömungsleitung der Zuflussleitung 7 viel größer ist als die Strömungsleitung über die Reaktionskammern 13. Der Strömungsweg durch jede Reaktionskammer muss eine Strömungsleitung aufweisen, die zu der der Gaswege über die anderen Kammern equivalent (gleich) ist. Dann sind der Druck und die Strömungsgeschwindigkeit zwischen den einzelnen Reaktionskammern ausgeglichen und die Bildungsgeschwindigkeit des Dünnfilms in den jeweiligen Kammern ist daher ebenfalls gleich. In den Reaktionskammern 13 ist das Strömungsmuster aufgrund des engen Saugschlitzes 14 an dem Ausströmende der Reaktionskammer abgeglichen. Der Saugschlitz kann entweder aus einem einzelnen, durchgehenden Schlitz oder aus mehreren kleinen, parallelen Schlitze bestehen, der/die in Richtung des Stromabflusses nach der großvolumigen Reaktionskammer 13, die eine größere relative Strömungsleitung besitzt, als der/die Schlitz(e), liegt/liegen. Der Gasstrom neigt dann dazu, über den/die Schlitz(e) in einem gleichmäßig verteilten Muster auszutreten. In der Reaktionskammer 13 wird dies als ein gleichmäßiger Druckgradient über den Querschnitt der Front des voranschreitenden Gasimpulses gezeigt, was eine gleichmäßig fortschreitende Gasfront bedeutet. In Untersuchungen (mit verminderter Reaktandmenge) wurde gefunden, dass die Gasfront eine äußerst gerade Kontur aufweist.
  • Ein gleichmäßiges Ausströmmuster des Gases über den Querschnitt sicherzustellen ist äußerst wichtig, da die Gasmoleküle dazu neigen, in Richtung des geringsten Druckes (die wirksamste Saugwirkung) zu wandern, wobei die gerade Gasfront gestört wird, wenn sie einer nicht homogenen Saugwirkung unterworfen wird. Darüber hinaus führt eine homogene Saugwirkung dazu, dass eine aufgrund anderer möglicher Gründe gestörte Gasfront abgeglichen wird.
  • Nach dem Austreten der Gasphasen-Reaktanden aus der Reaktionskammer und insbesondere aus dem Reaktionsbereich wird deren mögliches Vermischen, wie vollständig auch immer, dem gebildeten Dünnfilm keinen Schaden zufügen.
  • Unter Bezugnahme auf 2 ist eine Ausführungsform gezeigt, die von der vorstehend beschriebenen etwas verschieden ist. Die Legende der Bezugszeichen in dem Diagramm ist wie folgt:
  • 21
    Reaktionskammer-Packung
    22
    Zuflussleitungsöffnung für Ausgangsmaterialien der Gruppe A
    23
    Zuflussleitungsöffnung für Ausgangsmaterialien der Gruppe B
    24
    Verbindung für die Leitung, die mit der Saugöffnung der Pumpe in Verbindung steht
    25
    Sammelabflussleitung für die Abflussschlitze aus den parallel gestapelten Reaktionskammern
    26
    Saugnut, die die planaren Elemente zum Sammeln von eventuell ausgetretenem Gas umgibt, wobei die Saugnut mit der Sammelabflussleitung in Verbindung steht
    27
    Zwischenplatte, die dazu dient, die Abflussschlitzhöhe festzusetzen, d. h. eine Gasstrombeschränkung. Zusätzlich zu deren Funktion als Festlegung der Abflussbeschränkung bildet die Zwischenplatte eine Aufprallplatte, die die unterschiedlichen Ausgangsmaterialgruppen an der Zuflussseite trennt.
    28
    Zuflussleitung für Ausgangsmaterialien der Gruppe B
    29
    Zuflussleitung für Ausgangsmaterialien der Gruppe A
    30
    Zuflussleitung, die zur Verteilung der Ausgangsmaterialströme zu den parallelen Reaktionskammern dient
    31
    Deckplatte und eine Hälfte des obersten Teiles der Reaktionskammer
    32
    0–100 Stück identische, planare Elemente. Jedes planare Element bildet mit dem Substrat die Trennwand zwischen zwei übereinanderliegenden Reaktionskammern, sowie zusammen mit den Zwischenplatten und den anderen Hilfsplatten die Zufluss- und Abflussleitungen
    33
    Bodenplatte und eine Hälfte des untersten Teiles der Reaktionskammer
    34
    Oberste Platte der Trägerbasis
    35
    Mittelplatte der Trägerbasis
    36
    Tiefstgelegene Platte der Trägerbasis
    37
    Substrate
    38
    Reaktionskammer
    39
    Beschränkung für den Gasstrom, der das Substrat verlässt.
  • Die in 2 gezeigte Ausführungsform wird in der gleichen Art und Weise verwendet, wie in 1 erläutert ist. Diese Konstruktion unterscheidet sich jedoch von der ersten Ausführungsform dahingehend, dass die Ausgangsmaterialien der unterschiedlichen Reaktandengruppen entlang ihrer eigenen Zuflussleitungen bis zu den Zuflussschlitzen der Reaktionskammer geführt werden. Die Reaktionskammer-Packung ist daher auf ein derartiges Trägerbasis-Plattenset 34 bis 36 angeordnet, das nach deren Stapelung individuelle Strömungsleitungen 22, 23 für die Reaktanden der unterschiedlichen Ausgangsmaterialgruppen liefert. In ähnlicher Art und Weise strömen die Reaktanden in ihren jeweiligen Zuflussleitungen 28, 29 an die Seite der Reaktionskammer-Packung.
  • Die Gase werden aus den Zuflussleitungen 28, 29, die durch die aus den Zwischenplatten gebildeten Aufprallplatten 27 getrennt sind, eingebracht, wobei die Höhe der Reaktionskammer so bemessen ist, dass die Diffusion ein wirksames Vermischen der Ströme bewirkt, die aus den unterschiedlichen Leitungen kommen. Obwohl die Diffusion eine zu langsame Mischmethode ist, um in Richtung der Weite des abgeflachten Gasstrommusters verwendet zu werden, wirkt sie in Höhenrichtung gut. Wenn daher der Reaktand aus beispielsweise einer Zuflussleitung 28 eingebracht wird, wird das inaktive Gas aus der anderen Leitung 29 eingebracht. Beim Auftreten auf die Aufprallplatten werden die Reaktandenströme bzw. inaktiven Gasströme abgeflacht, was zu einem planaren Strömungsmuster führt, wobei sie während ihres Mischens in dem Zuflussschlitz der Reaktionskammer homogenisiert werden.
  • Die Zuflussleitungen 22, 23 und die Zuflussleitungen 28, 29 können beispielsweise einen ringförmigen Querschnitt aufweisen und die Reaktand-Gasströme werden bereits an den Aufprallplatten in eine aufgefächerte und abgeflachte Form verteilt.
  • Analog zu der ersten Ausführungsform ist es äußerst wichtig, ein abgeglichenes Abflussmuster der Gasfront über den Querschnitt sicherzustellen.
  • Zu 2 muss noch erwähnt werden, dass die Lage der Zuflussleitungen 28 und 29 zur Klarheit etwas versetzt wurden. In einer praktischen Ausführungsform werden diese Zuflussleitungen parallel angeordnet, d. h. in lateraler Richtung benachbart, wobei deren Einströmöffnungen in die Reaktionskammer bezüglich des Substrates in der gleichen Entfernung liegt.
  • Beispiel
  • Das folgende Beispiel beschreibt die Konstruktionsprinzipien für die Pumpe der in 1 gezeigten Vorrichtung bzw. das Intervall zwischen den nachfolgenden Gasphasen-Reaktandimpulsen, das ein erfindungsgemäßes Verhalten der Vorrichtung bewirkt:
    Substratgröße 300 × 300 mm2
    Anzahl an Substraten 10 Stück
    Anzahl an Reaktionskammern 5 Stück
    Abstand zwischen Substraten 4 mm
    Gesamtvolumen der Reaktionskammern 5 × 300 × 300 × 4 mm3 = 18.000 cm3
    Abmessungen/Volumen der Zuflussleitungen 300 × 10 × 100 mm = 300 cm3
    Abmessungen/Volumen der Abflussleitungen 300 × 10 × 100 mm = 300 cm3
    Gesamtvolumen 18.600 cm3 oder ungefähr 19 l.
  • Die Pumpenkapazität wird mit 360 m3/Std. oder 360 × 1000/3600 (l/s) = 100 l/s gewählt. Das vorstehend berechnete Gesamtgasvolumen kann daher mit einer so dimensionierten Pumpe in etwa 0,2 s evakuiert werden.
  • Eine Pumpe mit der vorstehend berechneten Kapazität benötigt eine Pumpenleitung mit einem inneren Durchmesser von 76 mm, die ein Volumen pro Längeneinheit von π × 0,38 × 0,38 × 10 dm3 = 4,07 l/min aufweist. Dies bedeutet, dass dann, wenn die Länge der Pumpenleitung aus der Reaktionskammer-Packung zu der Abflussverbindung der Vorrichtung beispielsweise 1 m beträgt, deren Evakuierung zusätzlich 0,04 s beträgt.
  • Das Intervall zwischen den Reaktandimpulsen in dem vorstehenden Beispiel wird mit etwa 0,25 s gewählt, was ausreichend Zeit für eine einmalige Evakuierung des gesamten Gasvolumens der Vorrichtung während des Intervalls zwischen zwei aufeinander folgenden Reaktandimpulsen ist. Durch Ausdehnen des Intervalls zwischen den Impulsen auf beispielsweise 1 s kann das Gasvolumen etwa viermal evakuiert werden. In diesem Fall kann vorteilhaft ein inaktives Gas in den Reaktionsraum während der Evakuierung eingebracht werden.

Claims (15)

  1. Verfahren zur Bildung einer Dünnschicht auf einem Substrat, wobei ein in einem Reaktionsraum (1; 21) angeordnetes Substrat alternierend wiederholten Oberflächenreaktionen von mindestens zwei Gasphasen-Reaktanden unterworfen wird, um eine Dünnschicht zu bilden, welches umfasst: die Reaktanden in Form von Gasphasenimpulsen wiederholt und alternierend, jeder Reaktand gesondert von seiner eigenen Quelle, in den Reaktionsraum (1; 21) einzubringen, und die Gasphasen-Reaktanden mit der Oberfläche des Substrates zur Bildung einer Festphasendünnschicht-Verbindung auf dem Substrat zur Umsetzung zu bringen, dadurch gekennzeichnet, dass das Gasvolumen des Reaktionsraums zwischen zwei aufeinanderfolgenden Gasphasen-Reaktandimpulsen evakuiert wird, wobei gleichzeitig mit der Evakuierung des Reaktionsraums von den Rückständen des letzten Gasphasen-Reaktandimpulses ein inertes Gas in den Reaktionsraum (1; 21) eingebracht wird, so dass vor dem Zufluss des nachfolgenden Gasphasenimpulses die restlichen Komponenten des vorhergehenden Gasphasen-Reaktandimpulses, die in dem Reaktionsraum oder an den Wänden desselben verbleiben, in einer Menge von weniger als 1% vorhanden sind.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass das Gasvolumen des Reaktionsraums (1; 21) während des Intervalls zwischen den Gasphasen-Reaktandimpulsen mindestens zweimal evakuiert wird, vorzugsweise drei- bis zehnmal.
  3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass das Gasvolumen des Reaktionsraumes (1; 21) evakuiert wird, so dass vor dem Zufluss des nachfolgenden Gasphasenimpulses die restlichen Komponenten des vorhergehenden Gasphasen-Reaktandimpulses, die in dem Reaktionsraum verbleiben, weniger als 1‰ vorhanden sind.
  4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass eine Pumpe (3; 24) mit dem Reaktionsraum verbunden wird, die eine Volumenkapazität aufweist, die während des Intervalls zwischen zwei aufeinanderfolgenden Gasphasen-Reaktandimpulsen erheblich größer ist als das Gasvolumen des Reaktionsraums.
  5. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass jeder Reaktand über einen getrennten Zuflussweg (22, 29; 23, 28) in den Reaktionsraum eingebracht wird, um das aus dem Reaktionsraum zu evakuierende Gasvolumen auf ein Minimum zu reduzieren.
  6. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass jeder Gasphasen-Reaktandimpuls vor dessen Eintritt in den Reaktionsraum mit dem Strom des inerten Gases gemischt wird.
  7. Verfahren nach Anspruch 1, wobei ein Reaktionsraum verwendet wird, der eine Reaktionskammer (13; 38) umfasst, in der das Substrat wird, und weiter Gasstromleitungen (7, 8, 14 und 4; 22, 23, 28, 29, 25 und 24) aufweist, die mit der Reaktionskammer in Verbindung stehen, wobei die Gasstromleitungen für den Zufluss des Gasphasen-Reaktandimpulses in die Reaktionskammer geeignet sind und entsprechend für den Abfluss der nicht umgesetzten Komponenten des Reaktandimpulses aus der Reaktionskammer, dadurch gekennzeichnet, dass mindestens ein Teil der Gasstromleitungen einen engen länglichen Querschnitt aufweist, um das Volumen des Reaktionsraums auf ein Minimum zu reduzieren.
  8. Verfahren nach Anspruch 1 oder 7, wobei ein Reaktionsraum verwendet wird, der eine Reaktionskammer (13; 38) umfasst, in der das Substrat wird, und weiter Gasstromleitungen (7, 8, 14 und 4; 22, 23, 28, 29, 25 und 24) aufweist, die mit der Reaktionskammer in Verbindung stehen, wobei die Gasstromleitungen für den Zufluss der Gasphasen-Reaktandimpulse in die Reaktionskammer geeignet sind und folglich für den Abfluss der nicht umgesetzten Komponenten des Reaktandimpulses aus der Reaktionskammer, dadurch gekennzeichnet, dass die Reaktionskammer (13; 38) einen engen, länglichen Querschnitt aufweist, um das Volumen des Reaktionsraums auf ein Minimum zu reduzieren.
  9. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass die Gasphasen-Reaktandimpulse über Gasstromleitungen (7, 8, 14 und 4; 22, 23, 28, 29, 25 und 24), die einen engen, länglichen Querschnitt aufweisen, eingebracht werden, um im Wesentlichen planare Impulse von Gasphasen-Reaktanden zu bilden und das Vermischen des Gasphasen-Reaktandstromes mit einem Trägergasstrom zu verbessern.
  10. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass die Gasphasen-Reaktandimpulse über deren individuelle Zuflussleitungen (22, 29; 23, 28) direkt in die Reaktionskammer eingebracht werden, wobei der Gasphasenimpuls vor Inkontaktbringen des Reaktanden mit dem Substrat mit einem Trägergasstrom vermischt wird.
  11. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Gasphasen-Reaktandimpulse in laminarer Strömung in die Reaktionskammer eingebracht werden.
  12. Vorrichtung zur Bildung von Dünnschichten auf einem Substrat, in der das Substrat alternierend wiederholten Oberflächenreaktionen von Gasphasen-Reaktanden unterworfen ist, um eine Festphasendünnschicht auf dem Substrat zu bilden, welche umfasst: – einen Reaktionsraum (13; 38), in dem das Substrat angeordnet ist, – Zuflussleitungen (7; 22, 29; 23, 28), die mit dem Reaktionsraum in Verbindung stehen, wobei die Leitungen zum Einbringen der in einem Verfahren zur Bildung von Dünnschichten verwendeten Reaktanden und von einem inerten Gas in Form von Gasphasenimpulsen in den Reaktionsraum geeignet sind, und – Reaktanden-Abflussleitungen (4; 25), die mit dem Reaktionsraum in Verbindung stehen, wobei die Leitungen für den Abfluss der Reaktionsprodukte und überschüssiger Reaktanden-Mengen aus dem Reaktionsraum geeignet sind, dadurch gekennzeichnet, dass die Abflussleitungen (4; 25) mit einer Verbindung (3; 24) zu einer Pumpe versehen sind, die geeignet ist, den Reaktionsraum zu evakuieren, und wobei die Pumpe eine Volumenkapazität aufweist, die ausreicht, um das Gasvolumen des Reaktionsraumes mindestens einmal pro Sekunde zu evakuieren, so dass vor dem Zufluss des nachfolgenden Gasphasenimpulses die restlichen Komponenten des vorhergehenden Gasphasen-Reaktandimpulses, die in dem Reaktionsraum oder an den Wänden desselben verbleiben, in einer Menge von weniger als 1% vorhanden sind.
  13. Vorrichtung nach Anspruch 12, dadurch gekennzeichnet, dass die Pumpe eine Volumenkapazität aufweist, die ausreicht, um das Gasvolumen des Reaktionsraumes mindestens zweimal pro Sekunde zu evakuieren.
  14. Vorrichtung nach Anspruch 12 oder 13, welche eine Reaktionskammer (13; 38) umfasst, in der das Substrat angeordnet ist, und weiter Gasstromleitungen aufweist, (7, 8, 14 und 4; 22, 23, 28, 29, 25 und 24), die mit der Reaktionskammer in Verbindung stehen, wobei die Gasstromleitungen für den Zufluss der Gasphasen-Reaktandimpulse in die Reaktionskammer und entsprechend für den Abfluss der Reaktionsprodukte des Verfahrens zur Bildung einer Dünnschicht und der überschüssigen Mengen der Reaktandimpulse aus der Reaktionskammer geeignet sind, dadurch gekennzeichnet, dass mindestens ein Teil der Gasstromleitungen einen engen, länglichen Querschnitt aufweist, um das Volumen des Reaktionsraumes auf ein Minimum zu reduzieren.
  15. Vorrichtung nach Anspruch 14, dadurch gekennzeichnet, dass die Reaktionskammer (13; 38) einen engen, länglichen Querschnitt aufweist, um das Volumen des Reaktionsraumes auf ein Minimum zu reduzieren.
DE19581483T 1994-11-28 1995-11-28 Verfahren und Vorrichtung zur Bildung von Dünnschichten Expired - Lifetime DE19581483B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FI945611A FI100409B (fi) 1994-11-28 1994-11-28 Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI945611 1994-11-28
PCT/FI1995/000658 WO1996017107A1 (en) 1994-11-28 1995-11-28 Method and apparatus for growing thin films

Publications (2)

Publication Number Publication Date
DE19581483T1 DE19581483T1 (de) 1997-01-02
DE19581483B4 true DE19581483B4 (de) 2010-03-11

Family

ID=8541888

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19581483T Expired - Lifetime DE19581483B4 (de) 1994-11-28 1995-11-28 Verfahren und Vorrichtung zur Bildung von Dünnschichten

Country Status (7)

Country Link
US (5) US6015590A (de)
JP (1) JPH09508890A (de)
KR (1) KR100255430B1 (de)
AU (1) AU3985695A (de)
DE (1) DE19581483B4 (de)
FI (1) FI100409B (de)
WO (1) WO1996017107A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse

Families Citing this family (590)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7208010B2 (en) 2000-10-16 2007-04-24 Conor Medsystems, Inc. Expandable medical device for delivery of beneficial agent
US6241762B1 (en) 1998-03-30 2001-06-05 Conor Medsystems, Inc. Expandable medical device with ductile hinges
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6645759B2 (en) * 1998-12-04 2003-11-11 Flexcell International Corporation Apparatus for growing cells in culture under shear stress and/or strain
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI110311B (fi) 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1221178A1 (de) 1999-10-15 2002-07-10 ASM America, Inc. Verfahren zur abscheidung von nanolaminierten dünnschichten auf empfindlichen oberflächen
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
FI20001694A0 (fi) * 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
DE20122506U1 (de) 2000-10-16 2005-12-08 Conor Medsystems, Inc., Menlo Park Ausdehnbare medizinische Vorrichtung zur Abgabe eines nützlichen Agens
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
FI118014B (fi) 2000-10-23 2007-05-31 Asm Int Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6939579B2 (en) 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
DE10296448T5 (de) * 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6627268B1 (en) 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6835414B2 (en) 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US7842083B2 (en) 2001-08-20 2010-11-30 Innovational Holdings, Llc. Expandable medical device with improved spatial distribution
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (de) 2001-09-14 2004-06-09 Asm International N.V. Ald-beschichtung von metallnitrid unter verwendung eines getterreaktanten
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
CN100349314C (zh) * 2002-01-03 2007-11-14 尼电源系统公司 其上具有共形导电层的多孔燃料电池电极结构
EP1466034A1 (de) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Vorrichtung und verfahren zur abscheidung von atomschichten
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
EP1485513A2 (de) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald verfahren und vorrichtung
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (de) * 2002-11-14 2005-11-09 Applied Materials, Inc. Vorrichtung und Verfahren zu hybriden chemischen Abscheidungsverfahren
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7294360B2 (en) * 2003-03-31 2007-11-13 Planar Systems, Inc. Conformal coatings for micro-optical elements, and method for making the same
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
ATE468421T1 (de) * 2003-06-27 2010-06-15 Sundew Technologies Llc Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle
WO2005017963A2 (en) 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7846499B2 (en) * 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
KR20070108918A (ko) 2005-02-22 2007-11-13 에이에스엠 아메리카, 인코포레이티드 원자층 증착을 위한 표면의 플라즈마 전처리
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
CN100418192C (zh) * 2005-08-05 2008-09-10 中国科学院长春光学精密机械与物理研究所 铁掺杂的硫化锌薄膜生长制备方法
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20090087967A1 (en) * 2005-11-14 2009-04-02 Todd Michael A Precursors and processes for low temperature selective epitaxial growth
FI121750B (fi) * 2005-11-17 2011-03-31 Beneq Oy ALD-reaktori
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7413982B2 (en) * 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US7456429B2 (en) * 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7801623B2 (en) * 2006-06-29 2010-09-21 Medtronic, Inc. Implantable medical device having a conformal coating
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US8221852B2 (en) 2007-09-14 2012-07-17 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using titanium-based precursors
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
US8071066B1 (en) 2008-02-13 2011-12-06 Laor Consulting, LLC Method and apparatus for improving the quality of diamonds and other gemstones
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
US8343583B2 (en) * 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
WO2011121508A1 (en) 2010-03-29 2011-10-06 Koolerheadz Modular gas injection device
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
WO2012060940A1 (en) 2010-11-04 2012-05-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9448564B2 (en) 2013-02-15 2016-09-20 Reno Technologies, Inc. Gas delivery system for outputting fast square waves of process gas during semiconductor processing
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9690301B2 (en) 2012-09-10 2017-06-27 Reno Technologies, Inc. Pressure based mass flow controller
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US20130337565A1 (en) 2012-06-19 2013-12-19 Medtrain Technologies, Llc Method and Apparatus for Patterning Cells
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102215965B1 (ko) * 2014-04-11 2021-02-18 주성엔지니어링(주) 가스 분사 장치 및 이를 포함하는 기판 처리 장치
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
US9711350B2 (en) 2015-06-03 2017-07-18 Asm Ip Holding B.V. Methods for semiconductor passivation by nitridation
US10490475B2 (en) 2015-06-03 2019-11-26 Asm Ip Holding B.V. Methods for semiconductor passivation by nitridation after oxide removal
US9711396B2 (en) 2015-06-16 2017-07-18 Asm Ip Holding B.V. Method for forming metal chalcogenide thin films on a semiconductor device
US9741815B2 (en) 2015-06-16 2017-08-22 Asm Ip Holding B.V. Metal selenide and metal telluride thin films for semiconductor device applications
IL288852B2 (en) 2015-06-23 2024-01-01 Univ Texas Methods and apparatus for growing cell populations for cell therapy
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR101760316B1 (ko) * 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10947640B1 (en) * 2016-12-02 2021-03-16 Svagos Technik, Inc. CVD reactor chamber with resistive heating for silicon carbide deposition
US11111600B1 (en) * 2016-12-02 2021-09-07 Svagos Technik, Inc. Process chamber with resistive heating
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102171476B1 (ko) * 2017-07-04 2020-10-29 한국과학기술원 개시제를 이용한 화학 기상 증착의 다층 시스템 및 방법
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230150309A (ko) 2021-03-03 2023-10-30 아이커 시스템즈, 인크. 매니폴드 조립체를 포함하는 유체 유동 제어 시스템
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115125514B (zh) * 2022-08-01 2023-06-09 拓荆科技股份有限公司 腔内抽气结构及半导体沉积设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI52359C (fi) * 1974-11-29 1977-08-10 Instrumentarium Oy Menetelmä ja laite yhdisteohutkalvojen kasvattamiseksi.
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
EP0559326B1 (de) * 1992-03-06 1997-05-07 Pioneer Electronic Corporation Vorrichtung für Dampfphasenepitaxie von zusammengesetzten Halbleitern
FR2967108A1 (fr) * 2010-11-10 2012-05-11 Cera Montage d'une tablette de recouvrement d'un compartiment a bagages de vehicule automobile sur des supports lateraux

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1562855A (de) * 1967-12-05 1969-04-11
US3662583A (en) * 1970-02-06 1972-05-16 Charles H Moore Sr Transition members
US4062318A (en) * 1976-11-19 1977-12-13 Rca Corporation Apparatus for chemical vapor deposition
US4263872A (en) * 1980-01-31 1981-04-28 Rca Corporation Radiation heated reactor for chemical vapor deposition on substrates
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4309240A (en) 1980-05-16 1982-01-05 Advanced Crystal Sciences, Inc. Process for chemical vapor deposition of films on silicon wafers
US4339645A (en) * 1980-07-03 1982-07-13 Rca Corporation RF Heating coil construction for stack of susceptors
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
JPS59928A (ja) * 1982-06-25 1984-01-06 Ushio Inc 光加熱装置
JPS59111997A (ja) 1982-12-14 1984-06-28 Kyushu Denshi Kinzoku Kk エピタキシヤル成長装置
US4825806A (en) * 1984-02-17 1989-05-02 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Film forming apparatus
JPS6126217A (ja) * 1984-07-16 1986-02-05 Matsushita Electric Ind Co Ltd 気相成長装置
GB2162207B (en) * 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS61289623A (ja) * 1985-06-18 1986-12-19 Matsushita Electric Ind Co Ltd 気相反応装置
US4689247A (en) * 1986-05-15 1987-08-25 Ametek, Inc. Process and apparatus for forming thin films
JPS63112495A (ja) 1986-10-29 1988-05-17 Nec Kyushu Ltd 気相成長装置
IT1198290B (it) 1986-12-02 1988-12-21 Sgs Microelettronica Spa Metodo di decontaminazione di una camera utilizzata nei processi sotto vuoto di deposizione,attacco o crescita di films di elevata purezza,di particolare applicazione nella tecnologia dei semiconduttori
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
DE3707672A1 (de) * 1987-03-10 1988-09-22 Sitesa Sa Epitaxieanlage
JPH0727861B2 (ja) * 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
FI81926C (fi) * 1987-09-29 1990-12-10 Nokia Oy Ab Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater.
DE3739528A1 (de) * 1987-11-21 1989-06-01 Bbc Brown Boveri & Cie Cvd-rohrofenreaktor
JPH0647073B2 (ja) 1988-07-08 1994-06-22 忠弘 大見 プロセス装置用ガス供給配管装置
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
US5130269A (en) * 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
JPH01305524A (ja) * 1988-06-03 1989-12-08 Nec Corp プラズマcvd装置
JPH02150040A (ja) * 1988-11-30 1990-06-08 Fujitsu Ltd 気相成長装置
JPH0824191B2 (ja) * 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5194401A (en) * 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
DE3936016A1 (de) * 1989-10-28 1991-05-02 Philips Patentverwaltung Verfahren zur herstellung optischer schichten auf planaren substraten
US5091335A (en) * 1990-03-30 1992-02-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration MBE growth technology for high quality strained III-V layers
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5270247A (en) * 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
AU4378893A (en) * 1992-05-22 1993-12-30 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5455069A (en) * 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
JPH05343327A (ja) * 1992-06-12 1993-12-24 Fujitsu Ltd 成膜方法
JP3137767B2 (ja) * 1992-10-20 2001-02-26 富士通株式会社 半導体装置の製造方法
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
SE9600705D0 (sv) * 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
CN102265515B (zh) 2008-12-26 2014-07-09 太阳诱电株式会社 双工器以及电子装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI52359C (fi) * 1974-11-29 1977-08-10 Instrumentarium Oy Menetelmä ja laite yhdisteohutkalvojen kasvattamiseksi.
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
EP0559326B1 (de) * 1992-03-06 1997-05-07 Pioneer Electronic Corporation Vorrichtung für Dampfphasenepitaxie von zusammengesetzten Halbleitern
FR2967108A1 (fr) * 2010-11-10 2012-05-11 Cera Montage d'une tablette de recouvrement d'un compartiment a bagages de vehicule automobile sur des supports lateraux

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse

Also Published As

Publication number Publication date
US6572705B1 (en) 2003-06-03
JPH09508890A (ja) 1997-09-09
KR100255430B1 (ko) 2000-05-01
US8507039B2 (en) 2013-08-13
WO1996017107A1 (en) 1996-06-06
US7404984B2 (en) 2008-07-29
US20090181169A1 (en) 2009-07-16
KR970700787A (ko) 1997-02-12
US20080138518A1 (en) 2008-06-12
US7498059B2 (en) 2009-03-03
FI945611A0 (fi) 1994-11-28
US6015590A (en) 2000-01-18
US20020041931A1 (en) 2002-04-11
FI945611A (fi) 1996-06-11
AU3985695A (en) 1996-06-19
DE19581483T1 (de) 1997-01-02
FI100409B (fi) 1997-11-28

Similar Documents

Publication Publication Date Title
DE19581483B4 (de) Verfahren und Vorrichtung zur Bildung von Dünnschichten
DE60129380T2 (de) Vorrichtung und Verfahren zum Auftragen einer Dünnschicht auf einen Wafer durch Abscheidung von atomaren Schichten
DE10132882B4 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE19654903C2 (de) Vorrichtung zum Behandeln von Substraten in einem Fluid-Behälter
DE60035948T2 (de) Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
DE10064178A1 (de) Verfahren und Vorrichtung zur Ausbildung einer Dünnschicht
DE112006003315T5 (de) Gaskopf und Dünnfilm-Herstellungsvorrichtung
CH626121A5 (de)
DE102005055468A1 (de) Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
DE3216465A1 (de) Verfahren und vorrichtung zur gasfuehrung fuer lp cvd prozsse in einem rohrreaktor
EP1255876A2 (de) Kondensationsbeschichtungsverfahren
EP1844180A1 (de) Gasverteiler mit in ebenen angeordneten vorkammern
EP1861520B1 (de) Gaseinlassorgan f]r einen cvd-reaktor
DE2418662A1 (de) Verfahren zur bildung eines niederschlags aus der dampfphase auf einer vielzahl flacher substrate
EP2470685A1 (de) Cvd-reaktor und verfahren zum abscheiden einer schicht
WO2002044445A1 (de) Verfahren zum abscheiden von insbesondere kristallinen schichten sowie vorrichtung zur durchführung des verfahrens
DE112015003176T5 (de) Düsenkopf, Vorrichtung und Verfahren, die dazu geeignet sind, eine Oberfläche eines Substrats aufeinanderfolgenden Oberflächenreaktionen zu unterziehen
DE4313284A1 (de) Spaltschleuse für das Ein- oder Ausbringen von Substraten von der einen in eine benachbarte Behandlungskammer
DE112011102855T5 (de) Düsenkopf und Anordnung
DE60013838T2 (de) Abdichtungsmittel und dessen verwendung in abscheidungsreaktor
DE19851824C2 (de) CVD-Reaktor
EP2915901B1 (de) Vorrichtung zur Plasmaprozessierung mit Prozessgaszirkulation in multiplen Plasmen
DE2114470A1 (de) Vorrichtung zum kontinuierlichen, einseitigen Beschichten von Platten wie Glasscheiben, Keramik- oder Kunststoffplatten und dergl. mittels Kathodenzerstäubung
EP4069882B1 (de) Gaseinlassvorrichtung für einen cvd-reaktor
EP1970467B1 (de) Flutungskammer für Beschichtungsanlagen

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8127 New person/name/address of the applicant

Owner name: ASM MICROCHEMISTRY OY, ESPOO, FI

8127 New person/name/address of the applicant

Owner name: ASM INTERNATIONAL N.V., BILTHOVEN, NL

8364 No opposition during term of opposition
R071 Expiry of right