DE112006001735B4 - Blockkontaktarchitekturen für Transistoren mit Kanälen in einer Nano-Größenordnung und Verfahren zum Ausbilden - Google Patents

Blockkontaktarchitekturen für Transistoren mit Kanälen in einer Nano-Größenordnung und Verfahren zum Ausbilden Download PDF

Info

Publication number
DE112006001735B4
DE112006001735B4 DE112006001735T DE112006001735T DE112006001735B4 DE 112006001735 B4 DE112006001735 B4 DE 112006001735B4 DE 112006001735 T DE112006001735 T DE 112006001735T DE 112006001735 T DE112006001735 T DE 112006001735T DE 112006001735 B4 DE112006001735 B4 DE 112006001735B4
Authority
DE
Germany
Prior art keywords
source
parallel
semiconductor
bodies
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112006001735T
Other languages
English (en)
Other versions
DE112006001735T5 (de
Inventor
Marko Beaverton Radosavljevic
Amlan Portland Majumdar
Brian S. Portland Doyle
Jack Portland Kavalieros
Mark L. Beaverton Doczy
Justin K. Portland Brask
Uday Portland Shah
Suman Beaverton Datta
Robert S. Beaverton Chau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112006001735T5 publication Critical patent/DE112006001735T5/de
Application granted granted Critical
Publication of DE112006001735B4 publication Critical patent/DE112006001735B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Abstract

Bauelement, das umfaßt:
mehrere parallele Halbleiterkörper, wobei jeder der mehreren parallelen Körper eine Oberseite und ein Paar sich seitlich gegenüberliegender Seitenwände aufweist, wobei jeder der parallelen Körper einen Kanalabschnitt zwischen einer Source-Region und einer Drain-Region aufweist;
eine Gate-Elektrode, die neben und über der Kanalregion eines jeden der mehreren Körper ausgebildet ist;
einen ersten metallischen Source- oder Drain-Kontakt, der mit einer ersten Vielzahl der mehreren parallelen Körper verbunden ist und sich zwischen diesen auf einer ersten Seite der Gate-Elektrode erstreckt;
einen zweiten metallischen Source- oder Drain-Kontakt, der mit einer zweiten Vielzahl der mehreren parallelen Körper verbunden ist und sich zwischen diesen auf der ersten Seite der Gate-Elektrode erstreckt; und
einen dritten metallischen Drain- oder Source-Kontakt, der mit der ersten und zweiten Vielzahl der mehreren parallelen Körper verbunden ist und sich zwischen diesen auf einer zweiten Seite der Gate-Elektrode erstreckt.

Description

  • Allgemeiner Sta”nd der Technik
  • 1. Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft das Gebiet der Herstellung integrierter Halbleiterschaltkreise und insbesondere Kontaktstrukturen für Bauelemente mit Kanälen in einer Nano-Größenordnung.
  • 2. Besprechung des Standes der Technik
  • Fortschritte bei den Halbleiterbauelementen und das unablässige Streben nach Miniaturisierung der Halbleiterbauelemente führen zu einem Bedarf an besseren Fertigungsprozessen für immer kleinere Strukturen, weil kleinere Bauelemente in der Regel schnellere Schaltzeiten bedeuten, die zu einer höheren Leistung führen.
  • Um diese höhere Bauelement-Leistung zu erreichen, sind kleinere Bauelementkanallängen erforderlich, und so sind viele nicht-planare Bauelementkonfigurationen wie zum Beispiel Doppelgatter, FinFET, Dreifachgatter und Omega-Gatter sowohl auf massiven Siliziumsubstraten und Silizium-auf-Isolator(SOI)-Substraten vorgeschlagen worden. Um Nanomaßstabs-Transistoren herzustellen, die einen beliebig großen Ansteuerstrom haben, kann die Bauelement-Architektur einen zusätzlichen oder mehrere Halbleiterkörper oder -finger enthalten, die mehrere parallele Kanäle erzeugen. 1 ist eine perspektivische Veranschaulichung eines Mehrkanal-Dreifachgatter-Transistors auf Silizium-auf-Isolator 102. Ein Mehrkanal-Transistor 100 enthält ein einzelnes kristallines Siliziumsubstrat 101 mit einer darauf ausgebildeten isolierenden Schicht 103, wie zum Beispiel einem vergrabenen Oxid. Auf der isolierenden Schicht sind mehrere Halbleiterkörper oder -finger 105 ausgebildet, wie in 1 gezeigt. Eine Gate-Dielektrikum-Schicht 112 ist auf den mehreren Halbleiterkörpern 105 ausgebildet, und eine Gate-Elektrode 113 ist auf dem Gate-Dielektrikum 112 ausgebildet, das die mehreren Halbleiterkörper 105 überbrückt. Die Source-Region 116 und die Drain-Region 117 sind in der einzelnen kristallinen Halbleiterschicht entlang seitlich gegenüberliegenden Seiten der Gate-Elektrode 113 ausgebildet.
  • Für ein typisches Dreifachgatter-Bauelement hat jeder Halbleiterkörper 105 eine Gate-Dielektrikum-Schicht 112 auf seiner Oberseite und seinen Seitenwänden ausgebildet, wie in 1 gezeigt. Die Gate-Elektrode 113 ist auf und neben jedem Gate-Dielektrikum 112 auf jedem der Halbleiterkörper 105 ausgebildet. Jeder Halbleiterkörper 105 enthält außerdem eine Source-Region 116 und eine Drain-Region 117, die in dem Halbleiterkörper 105 auf gegenüberliegenden Seiten der Gate-Elektrode 113 ausgebildet sind, wie in 1 gezeigt. Die Source-Regionen 116 und die Drain-Regionen 117 des Halbleiterkörpers 105 sind elektrisch miteinander durch das Halbleitermaterial verbunden, das verwendet wird, um die Halbleiterkörper 105 auszubilden, um eine Source-Kontaktinsel 118 und eine Drain-Kontaktinsel 119 zu bilden, wie in 1 gezeigt. Die Source-Kontaktinsel 118 und die Drain-Kontaktinsel 119 sind jeweils elektrisch über metallische Kontaktstrukturen 123 mit oberen Ebenen der Verbindungsmetallisierung verbunden (zum Beispiel Metall 1, Metall 2, Metall 3 usw.), die dafür verwendet wird, verschiedene Transistoren 100 elektrisch miteinander zu funktionalen Schaltungen zu verbinden. Wie in 1 gezeigt, ist ein Paar metallischer Kontaktstrukturen 123 für jeden der Halbleiterkörper 105 vorhanden, eine erste metallische Kontaktstruktur für die Source-Region 116 und eine zweiter metallischer Kontakt für die Drain-Region 117, um die parallele Schaltkreisarchitektur des gesamten Transistors beizubehalten.
  • Bei der in 1 gezeigten metallischen Kontaktarchitektur muß mit kleiner werdendem Rastermaß des Halbleiterkörpers 105 auch das Rastermaß 110 der metallischen Kontaktstrukturen 123 kleiner werden. Wenn die Verringerung des Rastermaßes 110 der metallischen Kontaktstrukturen 123 nicht mit der Verringerung des Rastermaßes des parallelen Halbleiterkörpers Schritt hält, so wird der Gesamtwiderstand der metallischen Kontaktstrukturen, der externe Widerstand (Rext), ein Faktor, der signifikant zum parasitären Gesamtwiderstand des Bauelements 100 beiträgt. Somit sind die metallischen Kontaktstrukturen 123 durch das kleinste photolithographische Rastermaß der metallischen Kontaktstrukturen 123 beschränkt, wodurch Rext größer wird, wenn das Rastermaß der Halbleiterkörper 105 unter das kleinste photolithographische Rastermaß der metallischen Kontaktstrukturen 123 abnimmt.
  • Ein Mehrkanaltransistor, wie er beispielsweise in der 1 gezeigt ist, ist ebenfalls in US 2004/0169269 A1 beschrieben. In US 6413802 B1 ist ein FinFET-Bauelement offenbart, wobei eine Vielzahl von Kanälen sich zwischen einem Source- und Drain-Gebiet erstrecken und gleichzeitig kontaktierbar sind. Ein Herstellungsprozess für den Sub-20 nm-Bereich ist darüber hinaus in: Y.-K. CHOI et. al. „Sub-20nm CMOS FinFET Technologies” offenbart, wobei insbesondere die Elektronenstrahllithographie und das Abstandshalterverfahren genutzt werden. Schließlich offenbart die US 2004/0108523 A1 ebenfalls einen Multikanaltransistor mit einem Substrat, Source- und Drain-Inseln, die auf einem Substrat ausgebildet sind, und mehreren Halbleiterkörpern, die das Source- und Drain-Gebiet miteinander verbinden.
  • Detaillierte Beschreibung der Zeichnungen
  • 1 ist eine Darstellung einer perspektivischen Ansicht eines herkömmlichen nicht-planaren Mehrkanal-Transistors.
  • 2A ist eine Darstellung einer perspektivischen Ansicht eines nicht-planaren Mehrkanal-Transistors mit einer metallischen Kontaktarchitektur, die nicht von der vorliegenden Erfindung umfasst ist.
  • 2B ist eine Darstellung einer perspektivischen Ansicht eines nicht-planaren Mehrkanal-Transistors mit einer metallischen Kontaktarchitektur, die nicht von der vorliegenden Erfindung umfasst ist.
  • 2C ist eine Darstellung einer perspektivischen Ansicht eines nicht-planaren Mehrkanal-Transistors mit einer metallischen Kontaktarchitektur gemäß der vorliegenden Erfindung.
  • 2D ist eine Darstellung einer perspektivischen Ansicht eines nicht-planaren Mehrkanal-Transistors mit einer metallischen Kontaktarchitektur gemäß der vorliegenden Erfindung.
  • 3A3L sind Darstellungen perspektivischer Ansichten und Querschnittsansichten eines Verfahrens zur Herstellung eines nicht-planaren Mehrkanal-Transistors mit einer Kontaktarchitektur gemäß der vorliegenden Erfindung.
  • Detaillierte Beschreibung der vorliegenden Erfindung
  • Es werden eine neuartige Kontaktstruktur für nicht-planare Mehrkanal-Transistoren und ein Verfahren zu ihrer Herstellung beschrieben. In der folgenden Beschreibung werden zahlreiche konkrete Details dargelegt, wie zum Beispiel konkrete Materialien, Abmessungen und Prozesse usw., um ein gründliches Verstehen der vorliegenden Erfindung zu ermöglichen. In anderen Fällen wurden bestens bekannte Halbleiterprozesse und Herstellungstechniken nicht in besonderem Detail beschrieben, um die vorliegende Erfindung nicht unnötig in den Hintergrund treten zu lassen.
  • Ausführungsformen der vorliegenden Erfindung enthalten Bauelement-Kontaktarchitekturen, bei denen rechteckige Metallstrukturen die Source- oder Drain-Regionen von nicht-planaren Transistoren berühren, die mehrere Halbleiterkörper mit Kanälen aufweisen, die parallel durch eine einzelne Gate-Elektrode gesteuert werden. Ausführungsformen der vorliegenden Erfindung enthalten Bauelement-Kontaktarchitekturen, bei denen mindestens eine metallische Drain-Kontaktstruktur mit Drain-Regionen der mehreren Halbleiterkörper verbunden ist und sich dazwischen erstreckt und mindestens eine metallische Kontaktstruktur mit Source-Regionen der mehreren Halbleiterkörper der nicht-planaren Mehrkanal-Transistoren verbunden ist und sich dazwischen erstreckt. Weil die rechteckige Blockkontaktarchitektur mehrere Halbleiterkörper berührt, wird der externe Widerstand (Rext) des nicht-planaren Mehrkanal-Bauelements verringert, indem eine Stromeinschnürung an den Source- und Drain-Enden der mehreren Halbleiterkörper verringert wird. Auf diese Weise erhöht die rechteckige Blockarchitektur die Transistorschaltgeschwindigkeit.
  • In der Regel haben einzelne Transistor-Bauelemente genug absoluten Strom abgegeben, um Schaltkreis-Logikfunktionen anzusteuern. In dem Maße aber, wie Transistorkanalbreiten auf Nanometer-Größe schrumpfen, nimmt auch der absolute Strom ab, der durch einen einzelnen Transistor transportiert wird. Das heißt, daß Bauelemente in Nanometer-Größe zwar schneller geworden sind, daß aber ihr absoluter Strom nicht mehr ausreicht, um eine nennenswerte Last anzusteuern, wodurch die Anwendungen für einen einzelnen Nanometer-Transistor eingeschränkt werden. Darum ist es von Vorteil, wenn Nanomaßstabs-Bauelemente mit Nanometer-Kanälen parallel konfiguriert und betrieben werden, wodurch eine Gruppierung von Nanomaßstabs-Bauelementen mit der Geschwindigkeit eines einzelnen Nanometerkanal-Bauelements arbeiten und genügend absoluten Strom zu Ansteuern nennenswerter Lasten abgeben kann. Nanomaßstabs-Bauelemente, die parallel betrieben werden, um den benötigten Ansteuerstrom zu erreichen, erfordern einen Formfaktor, der mindestens so klein ist wie das größere einzelne Transistor-Bauelement, das eine äquivalente Menge an absolutem Strom abgibt. Diese Anforderung ist notwendig, um zu vermeiden, daß eine Integration auf Logik-Ebene zum Verbessern der Schaltgeschwindigkeit der Nanometerkanal-Bauelemente geopfert wird, und kann als Layout-Effizienz beschrieben werden. Die Layout-Effizienz ist ein Verhältnis der absoluten stromtransportierenden Breite (Z) eines parallelen nicht-planaren Bauelement-Layouts zu der des typischen planaren Bauelements, das die gleiche Layout-Breite einnimmt. Weil einzelne nicht-planare Nanomaßstabs-Transistoren die effektive stromtransportierende Breite (Z) relativ zu einem einzelnen planaren Bauelement, das die gleiche Layout-Breite einnimmt, vergrößern, ist die Layout-Effizienz eines einzelnen nicht-planaren Bauelements deutlich größer als 100 Prozent. Jedoch führt, wie zuvor angemerkt, die Verkleinerung der Abmessung, die durch die nicht-planare Architektur ermöglicht wird, zu einem relativ geringen absoluten Strom, und so können viele sol cher nicht-planaren Bauelemente in einer parallelen Konfiguration betrieben werden. Sofern nicht das Rastermaß zwischen den parallelen nicht-planaren Nanomaßstabs-Transistoren kleiner ist als das kleinste Rastermaß des planaren Transistors, kann die Layout-Breite, die erforderlich ist, um einzelne nicht-planare Bauelemente zu strukturieren, die Layout-Effizienz auf unter 100 Prozent verringern. Somit ist die stromtransportierende Gesamtbreite des parallelen nicht-planeren Bauelements immer noch kleiner als die einzelner planerer Bauelemente, sofern nicht das Rastermaß der nicht-planaren Bauelemente proportional zur Größe des Kanals schrumpft. Da der typische planare Transistor ein Kanal-Rastermaß in der Größenordnung des kleinsten lithographischen Rastermaßes der metallischen Kontaktstrukturelemente aufweist, kann es erforderlich sein, das Rastermaß des nicht-planaren Nanomaßstabs-Transistors auf sublithographische Niveaus zu verringern, indem man sich auf nicht-lithographische Herstellungstechniken stützt, wie zum Beispiel Abstandshalter und Selbstjustierung, um die einzelnen Nanomaßstabs-Transistorkörper zu definieren. Die Verwendung solcher Techniken kann Layout-Effizienzen von deutlich über 100 Prozent für ein Nanomaßstabs-Mehrkanal-Bauelement erbringen, jedoch ist es dann unmöglich, eine einzelne Source- und Drain-Kontaktstruktur für jeden Transistorkanal lithographisch zu strukturieren oder zu drucken, wie es immer für den planaren Transistor mit einem kleinsten lithographischen Rastermaß getan wurde. Des Weiteren kann es selbst dann, wenn die nicht-planaren Transistorkörper mittels herkömmlicher Lithographie gedruckt werden, unwirtschaftlich teuer oder schwierig sein, die Steuerung der kritischen Abmessung zu bewerkstelligen, die durch die herkömmliche Kontaktarchitektur gefordert wird. Im Gegensatz zur herkömmlichen Kontaktarchitektur sind Ausführungsformen der vorliegenden Erfindung nicht durch das kleinste lithographische Rastermaß beschränkt und brauchen keine kleinsten Kontaktstrukturen zwischen mehreren Nanomaßstabs-Transistoren gemeinsam zu nutzen. Ausführungsformen der vorliegenden Erfindung verringern den Strom durch die metallische Kontaktstruktur und senken den Rext des Bauelements, wodurch die Schaltgeschwindigkeit des Bauelements erhöht wird.
  • Ein Beispiel eines nicht-planaren Mehrkanal-Transistors 200 mit einer metallischen Kontaktarchitektur veranschaulicht 2A. Obgleich der in 2A gezeigte nicht-planare Transistor 200 ein Dreifachgatter-Bauelement ist, sind auch andere nicht-planare Mehrkanal-Transistor-Designs, wie zum Beispiel – ohne darauf beschränkt zu sein – Doppelgatter, Omega-Gatter, Halbleiter-Nanodraht und Kohlenstoff-Nanoröhren-Bauelemente, möglich. Der nicht-planare Mehrkanal-Transistor 200 ist auf einem Substrat 202 ausgebildet. Das Substrat 202 ist möglicherweise ein isolierendes Substrat, das ein unteres monokristallines Siliziumsubstrat 201 enthält, auf dem eine isolierende Schicht 203, wie zum Beispiel ein Siliziumdioxidfilm, ausgebildet ist. Der nicht-planare Mehrkanal-Transistor 200 kann jedoch auf jedem bestens bekannten isolierenden Substrat ausgebildet werden, wie zum Beispiel Substraten aus Siliziumoxid, Nitrid, Carbiden und Saphir. Das Substrat 202 kann ein ”massives” Halbleitersubstrat sein, wie zum Beispiel – ohne darauf beschränkt zu sein – monokristallines Siliziumsubstrat und Gallium-Arsenid-Substrat. Ein ”massives” Halbleitersubstrat hat lediglich keine isolierende Schicht 203. Das Substrat 202 ist möglicherweise ein Silizium-Halbleitersubstrat mit einer dotierten Epitaxialschicht mit einer Leitfähigkeit entweder vom p-Typ oder n-Typ mit einer Konzentration zwischen 1 × 1016 – 1 × 1019 Atomen/cm3.
  • In einem Beispiel enthält der nicht-planare Mehrkanal-Transistor 200 mehrere Halbleiterkörper 205, die auf dem Isolator 203 des isolierenden Substrats 202 ausgebildet sind. Obgleich 2A eine Dreifachgatter-Ausführungsform zeigt, versteht es sich, daß noch weitere Ausführungsformen der nicht-planaren Transistoren möglich sind, wie zum Beispiel – ohne darauf beschränkt zu sein – Doppelgatter-, FinFET-, Omega-Gatter- oder Kohlenstoff-Nanoröhren-Designs. Die Halbleiterkörper 205 können aus jedem bestens bekannten Halbleitermaterial ausgebildet sein, wie zum Beispiel – ohne darauf beschränkt zu sein – Silizium (Si), Germanium (Ge), Silizium-Germanium (SixGey), Gallium-Arsenid (GaAs), Indium-Antimond (InSb), Galliumphosphid (GaP), Gallium-Antimonid (GaSb), Indiumphosphid (InP) und Kohlenstoff-Nanoröhren. Die Halbleiterkörper 205 können aus jedem bestens bekannten Material ausgebildet sein, das durch Anlegen externer elektrischer Steuerungen umkehrbar aus einem isolierenden Zustand in einen leitfähigen Zustand verändert werden kann. Die Halbleiterkörper 205 sind idealerweise ein einzelner kristalliner Film, wenn die beste elektrische Leistung des Transistors 200 gewünscht ist. Zum Beispiel sind die Halbleiterkörper 205 ein einzelner kristalliner Film, wenn der Transistor 200 in Hochleistungsanwendungen eingesetzt wird, wie zum Beispiel in einem hoch-dichten Schaltkreis, wie zum Beispiel einem Mikroprozessor. Die Halbleiterkörper 205 können jedoch auch ein polykristalliner Film sein, wenn der Transistor 200 in Anwendungen eingesetzt wird, die weniger hohe Leistung verlangen, wie zum Beispiel in Flüssigkristallanzeigen. In einer Ausführungsform isoliert der Isolator 203 die Halbleiterkörper 205 gegen das monokristalline Siliziumsubstrat 201. In einer Ausführungsform sind die Halbleiterkörper 205 ein einzelner kristalliner Siliziumfilm. In einer Ausführungsform, wo ein ”massives” Substrat verwendet wird, sind die Halbleiterkörper 205 aus einer oberen Region des ”massiven” Halbleitersubstrats ausgebildet. Die Halbleiterkörper 205 haben ein Paar seitlich gegenüberliegender Seitenwände 206 und 207, die um einen Abstand voneinander getrennt sind, der eine einzelnen Halbleiterkörper- oder Fingerbreite definiert. Des Weiteren haben die Halbleiterkörper 205 eine Oberseite 208, die gegenüber einer Unterseite auf dem Substrat 202 ausgebildet ist. Der Abstand zwischen der Oberseite 208 und der Unterseite definiert eine einzelne Halbleiterkörperhöhe. In einer Ausführungsform ist die einzelne Körperhöhe im Wesentlichen gleich der einzelnen Halbleiterkörperbreite. In einer Ausführungsform hat der einzelne Halbleiterkörper 205 eine Breite und eine Höhe von weniger als 30 Nanometern und idealerweise von weniger als 20 Nanometern. In einer Ausführungsform mißt die einzelne Halbleiterkörperhöhe zwischen der Hälfte der einzelnen Halbleiterkörperbreite und dem Doppelten der einzelnen Halbleiterkörperbreite. In einer Ausführungsform ist der Abstand zwischen zwei benachbarten Halbleiterkörpern geringer als 30 Nanometer und idealerweise geringer als 20 Nanometer. In einer Ausführungsform ist der Abstand zwischen zwei benachbarten Halbleiterkörpern geringer als die einzelne Halbleiterkörperbreite. In einer Ausführungsform ist das Rastermaß der Halbleiterkörper, der Abstand zwischen der Seitenwand 206 eines Halbleiterkörpers und der Seitenwand 206 eines benachbarten Halbleiterkörpers, sublithographisch. In einer Ausführungsform ist das Rastermaß des Halbleiterkörpers geringer als 110 nm.
  • Der nicht-planare Mehrkanal-Transistor 200 hat eine Gate-Dielektrikum-Schicht 212. Die Gate-Dielektrikum-Schicht 212 ist auf und um drei Seiten des Halbleiterkörpers 205 herum ausgebildet, wie in 2A gezeigt. Die Gate-Dielektrikum-Schicht 212 ist auf oder neben der Seitenwand 206, auf der Oberseite 208 und auf oder neben der Seitenwand 207 des Halbleiterkörpers 205 ausgebildet, wie in 2A gezeigt. Die Gate-Dielektrikum-Schicht 212 kann eine beliebige bestens bekannte dielektrische Schicht sein. In einer Ausführungsform ist die Gate-Dielektrikum-Schicht eine dielektrische Schicht aus Siliziumdioxid (SiO2), Siliziumoxynitrid (SiOxNy) oder Siliziumnitrid (Si3N4). In einer Ausführungsform ist die Gate-Dielektrikum-Schicht 212 ein Siliziumoxynitridfilm, der auf eine Dicke zwischen 0,5 und 2,0 nm ausgebildet ist. In einer Ausführungsform ist die Gate-Dielektrikum-Schicht 212 eine Gate-Dielektrikum-Schicht mit hohem K-Wert, wie zum Beispiel ein Metalloxid-Dielektrikum, wie zum Beispiel – ohne darauf beschränkt zu sein – Tantaloxid, Titanoxid, Hafniumoxid, Zirconiumoxid und Aluminiumoxid. Die Gate- Dielektrikum-Schicht 212 kann auch von einem anderen Typ eines Dielektrikums mit hohem K-Wert sein, wie zum Beispiel – ohne darauf beschränkt zu sein – Blei-Zirconium-Titanat (BZT).
  • Der nicht-planare Mehrkanal-Transistor 200 hat eine Gate-Elektrode 213, wie in 2A gezeigt. Die Gate-Elektrode 213 ist auf der und um die Gate-Dielektrikum-Schicht 212 herum ausgebildet, wie in 2A gezeigt. Die Gate-Elektrode 213 ist auf oder neben dem Gate-Dielektrikum 212 ausgebildet, das an der Seitenwand 206 eines jeden der Halbleiterkörper 205 ausgebildet ist, ist auf dem Gate-Dielektrikum 212 ausgebildet, das auf der Oberseite 208 eines jeden der Halbleiterkörper 205 ausgebildet ist, und ist neben oder auf der Gate-Dielektrikum-Schicht 212 ausgebildet, die an der Seitenwand 207 eines jeden der Halbleiterkörper 205 ausgebildet ist. Die Gate-Elektrode 213 hat ein Paar seitlich gegenüberliegender Seitenwände, die um einen Abstand voneinander getrennt sind, der die Gate-Länge (Lg) des Transistors 200 definiert. In einer Ausführungsform verlaufen die seitlich gegenüberliegenden Seitenwände der Gate-Elektrode 213 in einer Richtung senkrecht zu den seitlich gegenüberliegenden Seitenwänden 206 und 207 der Halbleiterkörper 205.
  • Die Gate-Elektrode 213 kann aus jedem geeigneten Gate-Elektrodenmaterial ausgebildet werden. In einer Ausführungsform umfaßt die Gate-Elektrode 213 polykristallines Silizium, das mit einer Konzentrationsdichte zwischen 1 × 1019 Atomen/cm3 und 1 × 1020 Atomen/cm3 dotiert ist. In einer Ausführungsform die Gate-Elektrode eine metallische Gate-Elektrode sein, wie zum Beispiel – ohne darauf beschränkt zu sein – Wolfram, Tantal, Titan, Nickel, Kobalt, Aluminium und entsprechende Nitride und Silicide. In einer Ausführungsform ist das Gate aus einer Kohlenstoff-Nanoröhre gebildet. In einer Ausführungsform ist die Gate-Elektrode aus einem Material gebildet, das eine Austrittsarbeit in der Spaltmitte zwischen 4,6 und 4,9 eV aufweist. Es versteht sich, daß die Gate-Elektrode 213 nicht unbedingt ein einzelnes Material zu sein braucht, sondern auch ein Verbundstapel aus Dünnfilmen sein kann, wie zum Beispiel – ohne darauf beschränkt zu sein – eine polykristallines-Silizium/Metall-Elektrode oder eine Metall/polykristallines-Silizium-Elektrode.
  • Der nicht-planare Mehrkanal-Transistor 200, wie in 2A gezeigt, hat Source-Regionen 216 und Drain-Regionen 217 der Halbleiterkörper 205. Die Source-Regionen 216 und die Drain-Regionen 217 sind in den Halbleiterkörpern 205 auf gegenüberliegenden Seiten der Gate-Elektrode 213 ausgebildet, wie in 2A gezeigt. Die Source-Region 216 und die Drain- Region 217 sind aus dem gleichen Leitfähigkeitstyp gebildet, wie zum Beispiel eine Leitfähigkeit vom n-Typ oder vom p-Typ. In einer Ausführungsform haben die Source-Region 216 und die Drain-Region 217 eine Dotierungskonzentration von 1 × 1019 – 1 × 1021 Atomen/cm3. Die Source-Region 216 und die Drain-Region 217 können mit gleichmäßiger Konzentration ausgebildet werden oder können Teilregionen mit verschiedenen Konzentrationen oder Dotierungsprofilen enthalten, wie zum Beispiel Spitzenregionen (zum Beispiel Source/Drain-Erweiterungen).
  • In einer Ausführungsform können die Source-Region 216 und die Drain-Region 217 einen Silizium- oder sonstigen Halbleiterfilm enthalten, der auf dem und um den Halbleiterkörper 205 herum ausgebildet ist. Zum Beispiel kann der Halbleiterfilm ein Siliziumfilm oder eine Siliziumlegierung sein, zum Beispiel Silizium-Germanium (SixGey), um ”erhöhte” Source- und Drain-Regionen zu bilden. In einer Ausführungsform wird ein Silicidfilm, wie zum Beispiel – ohne darauf beschränkt zu sein – Titansilicid, Nickelsilicid und Kobaltsilicid, auf der Source-Region 216 und der Drain-Region 217 ausgebildet. In einer Ausführungsform wird der Silicidfilm direkt auf der Oberseite 208 der Halbleiterkörper 205 ausgebildet. In einer Ausführungsform sind die Source-Regionen 216 und die Drain-Regionen 217 vollständig silicidiert (FUSI).
  • In einer Ausführungsform sind die Source-Regionen 216 und die Drain-Regionen 217 der Halbleiterkörper 205 elektrisch miteinander durch Material verbunden, das verwendet wird, um die Halbleiterkörper 205 auszubilden, um eine gemeinsame Source-Schiene oder -Kontaktinsel 218 und eine gemeinsame Drain-Schiene oder -Kontaktinsel 219 zu bilden, wie in 2A gezeigt. In einer alternativen Ausführungsform bleiben die Source-Regionen 216 und die Drain-Regionen 217 eines jeden der Halbleiterkörper 205 elektrisch voneinander isoliert, und es wird keine gemeinsame Source- oder Drain-Kontaktinsel ausgebildet.
  • Der Abschnitt des Halbleiterkörpers 205, der zwischen der Source-Region 216 und der Drain-Region 217 angeordnet ist, definiert eine Kanalregion des nicht-planaren Mehrkanal-Transistors 200 und ist von der Gate-Elektrode 213 umgeben. In einer Ausführungsform ist die Kanalregion intrinsisches oder undotiertes monokristallines Silizium. In einer Ausführungsform ist die Kanalregion dotiertes monokristallines Silizium. Wenn die Kanalregion dotiert ist, so ist sie in der Regel auf ein Leitfähigkeitsniveau zwi schen 1 × 1016 und 1 × 1019 Atomen/cm3 dotiert. Wenn – in einer Ausführungsform – die Kanalregion dotiert ist, so ist die in der Regel auf den entgegengesetzten Leitfähigkeitstyp der Source-Region 216 und der Drain-Region 217 dotiert. Wenn zum Beispiel die Source- und Drain-Regionen eine Leitfähigkeit vom n-Typ haben, so würde man die Kanalregion auf eine Leitfähigkeit vom p-Typ dotieren. Gleichermaßen hätte, wenn die Source- und Drain-Regionen eine Leitfähigkeit vom p-Typ haben, die Kanalregion eine Leitfähigkeit vom n-Typ. Auf diese Weise kann ein nicht-planarer Mehrkanal-Transistor 200 zu einem NMOS-Transistor bzw. zu einem PMOS-Transistor gebildet werden.
  • Der nicht-planare Mehrkanal-Transistor 200 ist in einem isolierenden Medium oder in einem Zwischenschichtdielektrikum (ZSD) 222 verkapselt, wie in 2A gezeigt. In einer Ausführungsform ist das ZSD ein Material mit einer niedrigen Dielektrizitätskonstante, wie zum Beispiel ein Film mit hoher Porosität oder ein Film aus kohlenstoffdotiertem Oxid. In einer Ausführungsform besteht das ZSD aus PSG, BPSG, Siliziumdioxid, Siliziumnitrid oder einem Verbund aus diesen oder anderen gemeinhin bekannten Materialien.
  • Der nicht-planare Mehrkanal-Transistor 200, wie in 2A gezeigt, ist elektrisch mit externen Bauelementen über das ZSD 222 mit rechteckigen Blockkontaktstrukturen 223 und 226 verbunden. Die Kontaktstrukturen können aus einem beliebigen gemeinhin bekannten leitfähigen Material bestehen, wie zum Beispiel – ohne darauf beschränkt zu sein – Aluminium, Gold, Titan, Wolfram, Silber und Kohlenstoff-Nanoröhren. In einer Ausführungsform sind die metallischen Kontaktstrukturen 223 und 226 Kupfer. In einer Ausführungsform haben die metallischen Kontaktstrukturen 223 und 226 zusätzliche Sperrschichten, wie zum Beispiel – ohne darauf beschränkt zu sein – Tantal, Tantalnitrid, Titan und Titannitrid.
  • Es versteht sich, daß die rechteckigen Blockkontaktstrukturen 223 und 226 unabhängig voneinander bemessen sein können. Es versteht sich des Weiteren, daß eine Architektur, die eine Blockkontaktstruktur beschreibt, wie zum Beispiel die Source-Kontaktstruktur 223, unabhängig auf die Architektur der Drain-Kontaktstruktur 226 angewendet werden kann. Darum können die Strukturen, die in verschiedenen Ausführungsformen beschrieben oder in den 2A2D gezeigt sind, entweder für den Source- oder den Drain-Kontakt in jeder beliebigen Kombination verwendet werden.
  • In einer Ausführungsform, wie in 2A gezeigt, berührt eine metallische Source-Kontaktstruktur 223 die Source-Regionen 216, und eine metallische Drain-Kontaktstruktur 226 berührt die Drain-Regionen 217 der mehreren Halbleiterkörper 205. In einer Ausführungsform hat die metallische Source-Kontaktstruktur 223 eine Breite 224 ungefähr gleich der Anzahl der Halbleiterkörper 205, multipliziert mit dem Rastermaß der Halbleiterkörper 205 des Transistors, und eine Länge 225 ungefähr gleich der Größe des kleinsten photolithographischen Strukturelements. In einer Ausführungsform hat die metallische Drain-Kontaktstruktur 226 eine Breite ungefähr gleich der Anzahl der Halbleiterkörper 205, multipliziert mit dem Rastermaß der Halbleiterkörper 205 des Transistors, und eine Länge ungefähr gleich der Größe des kleinsten photolithographischen Strukturelements. In einer weiteren Ausführungsform hat die Source-Kontaktstruktur 223 eine Breite 224, die wesentlich größer als die Länge 225 ist, während die Drain-Kontaktstruktur 226 eine Breite hat, die ungefähr gleich der kleinsten lithographischen Abmessung ist. Gleichermaßen kann die Drain-Kontaktstruktur 226 auch so bemessen sein, daß sie ein Blockkontakt ist, dessen Breite wesentlich größer als die Länge ist, während die Source-Kontaktstruktur lithographische Mindestabmessungen aufweist. In Ausführungsformen kann die Länge 225 der Kontaktstruktur 223 größer sein als die kleinste lithographische Abmessung, wodurch Fehlausrichtungstoleranzen kompensiert werden.
  • In einer Ausführungsform stellt die Source-Kontaktstruktur 223 einen Kontakt zu der gemeinsamen Source-Schiene oder -Kontaktinsel 218 her, wie in 2A gezeigt. In einer anderen Ausführungsform stellt der metallische Drain-Kontakt 226 einen Kontakt zu der gemeinsamen Drain-Schiene oder -Kontaktinsel 219 des nicht-planaren Mehrkanal-Transistors 200 her.
  • Durch Bemessen der einzelnen Kontaktstrukturen in der angegebenen Weise beschränkt das kleinste Rastermaß der Lithographie, das verwendet wird, um die Position der metallischen Blockkontaktstrukturen zu definieren, nicht mehr das Bauelement-Design, selbst wenn das kleinste Rastermaß des Halbleiterkörpers 205 sublithographisch ist. Des Weiteren beginnt in dem Maße, wie die Breite 224 der metallischen Blockkontaktstruktur deutlich größer wird als die Länge 225, die Blockkontaktstruktur 223 sich einem eindimensionalen Schlitz anzunähern. Ein solcher eindimensionaler Schlitz kann eine lithographisch definierte Länge 225 haben, die kleiner als die Länge einer Kontaktstruktur ist, die zweidimensional ist (mit einer Breite 224 unge fähr gleich einer Länge 225), was der verbesserten Auflösung einer eindimensionalen Abbildung zu verdanken ist.
  • In einer Ausführungsform wie in 2B gezeigt, berühren mehrere Source-Kontaktstrukturen 223 und 227 die gemeinsame Source-Kontaktinsel 218, und mehrere Drain-Kontaktstrukturen 226 und 230 berühren die gemeinsame Drain-Kontaktinsel 219. In einer weiteren Ausführungsform berühren die Source-Kontaktstrukturen die mehreren Source-Regionen ohne eine gemeinsame Source-Kontaktinsel, und die Drain-Kontaktstrukturen berühren die mehreren Drain-Regionen ohne eine gemeinsame Drain-Kontaktinsel. In einer Ausführungsform haben die Blockkontaktstrukturen eine Breite 224, die wesentlich größer als eine Länge 225 ist, wie in 2B gezeigt. In einer anderen Ausführungsform hat die Kontaktstruktur 223 eine Breite 224, die sich von der Breite 228 der Kontaktstruktur 227 unterscheidet. In einer weiteren Ausführungsform hat die Kontaktstruktur 223 eine Länge 225, die sich von der Länge 229 der Kontaktstruktur 227 unterscheidet.
  • In einer Ausführungsform der vorliegenden Erfindung stellt eine einzelne metallische Source-Kontaktstruktur 223 einen direkten Kontakt zu den mehreren Source-Regionen 216 in einer selbstjustierenden Weise ohne eine gemeinsame Source-Kontaktinsel her, während die Drain-Kontaktstruktur 226 einen Kontakt zu den Drain-Regionen 217 mittels der Drain-Kontaktinsel 219 herstellt, wie in 2C gezeigt. In einer ähnlichen Weise stellen die metallischen Drain-Kontaktstrukturen einen Kontakt direkt zu den mehreren Drain-Regionen ohne eine gemeinsame Drain-Kontaktinsel her. Auf diese Weise wird die effektive Oberfläche der metallischen Kontaktstruktur durch die Stufenhöhe des nicht-planaren Bauelements vergrößert, weil sich die metallische Kontaktstruktur um die nicht-planaren Source-Regionen 216 und die nicht-planaren Drain-Regionen 217 legt. Ungefähr so, wie der nicht-planare Transistor eine vergrößerte Kanalbreite hat, hat der nicht-planare Kontakt eine vergrößerte Kontaktbreite relativ zu einem linearen Kontakt, wodurch der Kontaktwiderstand verringert wird und der parasitäre Gesamtwiderstand des parallelen Bauelements verringert wird.
  • In bestimmten Ausführungsformen der vorliegenden Erfindung ist der nicht-planare Mehrkanal-Transistor, wie in 2D gezeigt, unter Verwendung mehrerer metallischer Source Kontaktstrukturen 223 und 227, welche die Source-Regionen 216 oder die Source-Kontaktinsel 218 berühren, elektrisch mit externen Bauelementen verbunden. In einer weiteren Ausführungs form der vorliegenden Erfindung berühren mehrere metallische Drain-Kontaktstrukturen die Drain-Regionen 217 in einer ähnlichen Weise. In einer Ausführungsform der vorliegenden Erfindung hat mindestens eine der metallischen Source-Kontaktstrukturen 223 und 227 eine Breite 224, die größer als das Rastermaß der Halbleiterkörper ist, aber geringer als die Anzahl der Halbleiterkörper, multipliziert mit dem Rastermaß der Halbleiterkörper, und eine Länge 225 in der Größenordnung der kleinsten Größe der lithographischen Strukturelemente. In anderen Ausführungsformen der vorliegenden Erfindung hat mindestens eine der metallischen Drain-Kontaktstrukturen 226 eine Breite, die größer als das Rastermaß der Halbleiterkörper ist, aber geringer als die Anzahl der Halbleiterkörper, multipliziert mit dem Rastermaß der Halbleiterkörper, und eine Länge von ungefähr der kleinsten Größe der lithographischen Strukturelemente, und berühren die Drain-Regionen 217 oder -Kontaktinsel 219.
  • In einer Ausführungsform der vorliegenden Erfindung berühren mehrere Source-Kontaktstrukturen 223 und 227 die Source-Regionen 216, und eine einzelne metallische Drain-Kontaktstruktur 226 berührt die Drain-Regionen 217 oder -Kontaktinsel 219, wie in 2D gezeigt. In bestimmten Ausführungsformen der vorliegenden Erfindung berührt eine einzelne metallische Source-Kontaktstruktur die Source-Regionen, während mehrere Drain-Kontaktstrukturen die Drain-Regionen der Halbleiterkörper berühren. In einer Ausführungsform der vorliegenden Erfindung berührt die einzelne Source-Kontaktstruktur die gemeinsame Source-Kontaktinsel, während die mehreren Drain-Kontaktstrukturen mehrere Drain-Regionen berühren. Auf diese Weise ist es möglich, Ausgangsfächerungs-, Addierwerk- oder sonstige Logikoperationen innerhalb eines parallelen Bauelements auszuführen und die überragende Layout-Effizienz auszunutzen, die durch das Verwenden von Transistoren mit einem sublithographischen Rastermaß geboten wird, wie zuvor angemerkt. Da die Halbleiterkörper ein sublithographisches Rastermaß haben, werden nicht unbedingt alle Halbleiterkörper durch die Kontaktstrukturen 223 und 227 in dieser Ausführungsform der Erfindung berührt. Weil jedoch die Halbleiterkörper parallel arbeiten, ist das Fehlen eines Kontakts zu einigen der Halbleiterkörper nicht unbedingt nachteilig für die Funktion des Gesamt-Bauelements, wenn genügend Ansteuerstrom durch die Halbleiterkörper erreicht wird, die durch die Strukturen 223 und 227 berührt werden.
  • Ein Verfahren zur Herstellung eines Dreifachgatter-Transistors gemäß einer Ausführungsform der vorliegenden Erfindung ist in den 3A3L veranschaulicht. Die Herstellung eines nicht-planaren Transistors beginnt mit einem Substrat 302. Ein Silizium- oder Halbleiterfilm 304 wird auf dem Substrat 302 ausgebildet, wie in 3A gezeigt. In einer Ausführungsform der vorliegenden Erfindung ist das Substrat 302 ein isolierendes Substrat, wie zum Beispiel in 3A gezeigt. In einer Ausführungsform der vorliegenden Erfindung enthält das isolierende Substrat 302 ein unteres monokristallines Siliziumsubstrat 301 und eine isolierende Schicht 303, wie zum Beispiel einen Siliziumdioxidfilm oder einen Siliziumnitridfilm. Die isolierende Schicht 303 isoliert den Halbleiterfilm 304 von dem Substrat 302 und ist in der Ausführungsform auf eine Dicke zwischen 20 und 200 nm ausgebildet. Die isolierende Schicht 303 wird mitunter als eine ”vergrabene Oxid”-Schicht bezeichnet. Wenn ein Silizium- oder Halbleiterfilm 304 auf einem isolierenden Substrat 301 ausgebildet wird, so entsteht ein Silizium- oder Halbleiter-auf-Isolierung(SOI)-Substrat 300. In anderen Ausführungsformen der vorliegenden Erfindung kann das Substrat 302 ein ”massives” Halbleitersubstrat sein, wie zum Beispiel – ohne darauf beschränkt zu sein – ein monokristallines Siliziumsubstrat und ein Gallium-Arsenid-Substrat. In einer Ausführungsform der Erfindung, wo ein ”massives” Substrat verwendet wird, ist die Halbleiterschicht 304 lediglich eine obere Region des Halbleitersubstrats. Darum versteht es sich, daß Ausführungsformen, die sich auf den Halbleiterfilm 304 beziehen, ebenso für ”massive” Bauelement-Ausführungsformen gelten, die ”massive” Substrate verwenden. In bestimmten Ausführungsformen der vorliegenden Erfindung ist das Substrat 302 ein Silizium-Halbleitersubstrat mit einer dotierten Epitaxialschicht mit einer Leitfähigkeit entweder vom p-Typ oder vom n-Typ mit einer Konzentration zwischen 1 × 1016 und 1 × 1019 Atomen/cm3.
  • Obgleich der Halbleiterfilm 304 idealerweise ein Siliziumfilm ist, kann er in anderen Ausführungsformen auch ein anderer Typ von Halbleiterfilm sein, wie zum Beispiel – ohne darauf beschränkt zu sein – Germanium (Ge), eine Silizium-Germanium-Legierung (SixGey), Gallium-Arsenid (GaAs), InSb, GaP, GaSb, InP sowie Kohlenstoff-Nanoröhren. In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterfilm 304 ein intrinsischer (d. h. undotierter) Siliziumfilm. In anderen Ausführungsformen ist der Halbleiterfilm 304 zu einer Leitfähigkeit vom p-Typ oder n-Typ mit einer Konzentration zwischen 1 × 1016 und 1 × 1019 Atomen/cm3 dotiert. Der Halbleiterfilm 304 kann in situ dotiert werden (d. h. dotiert werden, während er abgeschieden wird), oder er kann dotiert werden, nachdem er auf dem Substrat 302 zum Beispiel durch Ionenimplantation ausgebildet wurde. Ein Dotieren nach dem Ausbilden ermöglicht es, sowohl PMOS- als auch NMOS-Dreifachgatter-Bauelemente auf einfache Weise auf demselben isolierenden Substrat herzustellen. Das Dotierungsniveau des Halbleiterkörpers an diesem Punkt kann das Dotierungsniveau der Kanalregion des Bauelements bestimmen.
  • In bestimmten Ausführungsformen der vorliegenden Erfindung wird der Halbleiterfilm 304 auf eine Dicke ausgebildet, die ungefähr gleich der Höhe ist, die für den oder die anschließend ausgebildeten Halbleiterkörper des hergestellten Dreifachgatter-Transistors gewünscht wird. In einer Ausführungsform der vorliegenden Erfindung hat der Halbleiterfilm 304 eine Dicke oder Höhe von weniger als 30 Nanometern und idealerweise weniger als 20 Nanometern. In einer anderen Ausführungsform der vorliegenden Erfindung wird der Halbleiterfilm 304 auf die Dicke ausgebildet, die ungefähr gleich einem Drittel der Gate-”Länge” ist, die für den hergestellten Dreifachgatter-Transistor gewünscht wird. In einer Ausführungsform der vorliegenden Erfindung wird der Halbleiterfilm 304 dicker als die gewünschte Gate-Länge des Bauelements ausgebildet. In bestimmten Ausführungsformen der vorliegenden Erfindung wird der Halbleiterfilm 304 auf eine Dicke ausgebildet, die es ermöglicht, den hergestellten Dreifachgatter-Transistor in einer vollständig erschöpften Weise über seine planmäßige Gate-Länge (Lg) zu betreiben.
  • Der Halbleiterfilm 304 kann auf dem isolierenden Substrat 302 mittels eines beliebigen bestens bekannten Verfahrens ausgebildet werden. Ein Verfahren des Ausbildens eines Silizium-auf-Isolator-Substrats ist das Verfahren, das als ”Trennung durch Implantation von Sauerstoff” (SIMOX) bekannt ist. Eine andere Technik, die derzeit zum Ausbilden von SOI-Substraten verwendet wird, ist eine Epitaxialsiliziumfilmtransfertechnik, die allgemein als gebondetes SOI bezeichnet wird. In bestimmten Ausführungsformen der vorliegenden Erfindung ist der Halbleiterfilm 304 ein Teil des ”massiven” Halbleitersubstrats.
  • Zu diesem Zeitpunkt können gewünschtenfalls (nicht gezeigte) Isolationsregionen in dem Substrat 300 ausgebildet werden, um die verschiedenen darin auszubildenden Transistoren voneinander zu isolieren. Die Isolationsregionen können ausgebildet werden, indem Teile des Halbleiterfilms 304, der einen Dreifachgatter-Transistor umgibt, zum Beispiel durch bestens bekannte photolithographische und Ätztechniken weggeätzt werden. Gewünschtenfalls kann ein Hinterfüllen der geätzten Regionen mit einem isolierenden Film, wie zum Beispiel SiO2, ausgeführt werden.
  • Zu diesem Zeitpunkt können Halbleiterkörper aus dem Halbleiterfilm 304 unter Verwendung gemeinhin bekannter Photolithographie- und subtraktiver Ätztechniken ausgebildet werden, um Halbleiterkörper zu definieren. In bestimmten Ausführungsformen der vorliegenden Erfindung haben Halbleiterkörper eine lithographische Größe und ein lithographisches Rastermaß. In bestimmten Ausführungsformen der vorliegenden Erfindung können sublithographische Herstel lungstechniken, wie zum Beispiel Abstandshalter, verwendet werden, um Halbleiterkörper mit sublithographischem Rastermaß auszubilden, wie in den 3B3F gezeigt. In einem Verfahren wird eine erste Maskenschicht aus einem gemeinhin bekannten dielektrischen oder metallischen Material ausgebildet. In einer Ausführungsform der vorliegenden Erfindung ist die erste Maskenschicht ein Nitrid. In einer Ausführungsform der vorliegenden Erfindung ist die erste Maskenschicht ein Oxid. In einer anderen Ausführungsform der vorliegenden Erfindung ist die erste Maskenschicht polykristallines Silizium. Wie aus einer perspektivischen Sicht in 3B gezeigt, kann die erste Maskenschicht mit Hilfe gemeinhin bekannter Photolithographie- und Ätzprozesse zu einer Struktur aus Stabstrukturelementen 340 definiert werden. Die Stabstrukturelemente 340 sind in 3C in Querschnittsansicht gezeigt. Die Stäbe 340 haben eine Höhe, eine Breite und ein Rastermaß, die ausreichen, damit ein anschließend ausgebildeter Abstandshalter ein vorgegebenes Rastermaß und eine vorgegebene Breite haben kann. In bestimmten Ausführungsformen der vorliegenden Erfindung können die Stabstrukturelemente das kleinste lithographische Rastermaß 341 und die kleinste lithographische Breite 342 bekommen, die mit der konkret verwendeten photolithographischen Ausrüstung aufgelöst werden kann. In einer Ausführungsform der vorliegenden Erfindung werden die Stabstrukturelemente mit 193 nm-Lithographie strukturiert. In einer Ausführungsform der vorliegenden Erfindung haben die Stabstrukturelemente ein Rastermaß 341 von ungefähr 110 nm. In einer Ausführungsform der vorliegenden Erfindung wird die photodefinierte Schicht, die zum Definieren des Stabes 340 verwendet wird, durch gemeinhin bekannten Techniken noch weiter verkleinert, wie zum Beispiel – ohne darauf beschränkt zu sein – durch isotropes Ätzen mit einem Trockenentwicklungs- oder Naßätzprozeß. In einer weiteren Ausführungsform der vorliegenden Erfindung werden die Stabstrukturelemente 340 nach dem Strukturieren durch einen isotropen Ätzprozeß verkleinert.
  • In bestimmten Ausführungsformen der vorliegenden Erfindung wird eine zweite Maskenschicht 351 über den Stabstrukturelementen ausgebildet, wie in 3D gezeigt. Das zweite Maskenmaterial ist von einem Typ, von dem gemeinhin bekannt ist, daß er zum Ausbilden eines Abstandshalters geeignet ist, der Eigenschaften aufweist, die es ihm ermöglichen, nicht durch das Verfahren angegriffen zu werden, das zum anschließenden Entfernen des Stabes verwendet wird. Die Dicke des zweiten Maskenmaterials wird so gewählt, daß das anschließende Ausbilden eines Abstandshalters mit einer vorgegebenen Breite ermöglicht wird. In einer Ausführungsform der vorliegenden Erfindung kann die zweite Maskenschicht 351 ein gemeinhin bekanntes Material sein, wie zum Beispiel – ohne darauf beschränkt zu sein – ein Nitrid, ein Oxid oder ein polykristallines Silizium. Die zweite Maskenschicht 351 kann ein gemeinhin bekanntes metallisches Material sein. Gemeinhin bekannte Techniken zum Abscheiden der zweiten Maskenschicht 351 kann verwendet werden, um die gewünschte Stufenüberdeckung oder die benötigte Konformität zu erreichen, wie zum Beispiel – ohne darauf beschränkt zu sein – chemische Dampfabscheidung (CVD), plasmaverstärkte CVD (PECVD), Abscheidung mit hoch-dichtem Plasma (HDP) oder Atomschichtabscheidung (ALD).
  • Wie in 3E gezeigt, kann das zweite Maskenmaterial zu Abstandshaltern 352 neben den Seitenwänden der Stäbe 340 unter Verwendung einer beliebigen gemeinhin bekannten anisotropen Ätztechnik, die für das zweite Maskenmaterial geeignet ist, ausgeformt werden.
  • An diesem Punkt können die Stäbe durch eine beliebige Ätztechnik entfernt werden, welche die Stäbe selektiv entfernt, ohne die Abstandshalter 352 wesentlich zu verändern. In einer Ausführungsform der vorliegenden Erfindung werden die Stäbe unter Verwendung eines gemeinhin bekannten chemischen Naßätzprozesses weggeätzt. In einer anderen Ausführungsform der vorhegenden Erfindung werden die Stäbe mittels gemeinhin bekannter Plasma-Ätzprozesse entfernt. Nachdem die Stäbe entfernt wurden, bleiben Abstandshalterstrukturen 352 mit einem vorgegebenen Rastermaß 353 und einer vorgegebenen Breite 354 zurück, wie in einer Querschnittsansicht in 3F gezeigt. In einer Ausführungsform der vorliegenden Erfindung ist das Rastermaß 353 der Abstandshalterstrukturen 352 sublithographisch. In einer Ausführungsform der vorhegenden Erfindung beträgt das Rastermaß 353 der Abstandshalterstruktur ungefähr die Hälfte des Rastermaßes der Stabstrukturelemente. In einer Ausführungsform der vorliegenden Erfindung haben die Abstandshalterstrukturen 352 ein Rastermaß in der Größenordnung von 55 nm. Wie in perspektivischer Sicht in 3G gezeigt, bilden die Abstandshalterstrukturen 352 eine Struktur oder mehrere Strukturen, die Stellen definieren, wo anschließend Halbleiterkörper oder -rippen in dem Halbleiterfilm 304 ausgebildet werden. Die Abstandshalter 352-Struktur definiert die Breite 354, die für die anschließend ausgebildeten Halbleiterkörper oder -rippen des Dreifachgatter-Transistors gewünscht wird. In einer Ausführungsform der vorliegenden Erfindung haben die Abstandshalterstrukturen 352 eine Breite 353 von maximal 30 Nanometern und Idealerweise von maximal 20 Nanometern. Wie der Fachmann weiß, könnte der Prozeß des Ausbildens der Abstandshalterstrukturen 352 wiederholt werden, wobei jedes Mal die Anzahl der Abstandshalterstrukturen 352 verdoppelt wird, während möglicherweise das Abstandshalter-Rastermaß 353 und die Abstandshalter-Breite 354 verringert werden.
  • Zu diesem Zeitpunkt kann gewünschtenfalls eine (nicht gezeigte) photodefinierbare Maske verwendet werden, um die Abstandshalterstrukturen 352 zu verstärken, wobei selektiv Bereiche des Halbleiterfilms 304 geschützt werden, die nicht bereits durch die Abstandshalterstrukturen 352 geschützt sind, um einen Dreifachgatter-Transistor auf dem Substrat 300 auszubilden. Die Photoresist-Maske kann auch Source-Kontaktinseln und Drain-Kontaktinseln definieren. Die Kontaktinseln können verwendet werden, um die verschiedenen Source-Regionen miteinander zu verbinden und um die verschiedene Drain-Regionen des hergestellten Transistors miteinander zu verbinden. In bestimmten Ausführungsformen der vorliegenden Erfindung wird die Photoresist-Maske des Weiteren dafür verwendet, um andere Halbleiterkörper mit lithographischem Rastermaß zu definieren. Die Photoresist-Maske kann mittels bestens bekannter photolithographischer Techniken ausgebildet werden, darunter Maskieren, Belichten und Entwickeln eines abgeschiedenen Photoresist-Deckfilms. Nach dem Ausbilden der Photoresist-Maske wird der Halbleiterfilm 305 in Ausrichtung auf die Photoresist-Maske und die Abstandshalterstrukturen 352 geätzt, um einen oder mehrere Siliziumkörper oder -rippen und Source- oder Drain-Kontaktinseln 318 bzw. 319 auszubilden, wie in 3H gezeigt. In bestimmten Ausführungsformen der vorliegenden Erfindung wird der Halbleiterfilm 304 in 3G geätzt, bis die darunterliegende vergrabene Oxidschicht 303 frei liegt. In Ausführungsformen der vorliegenden Erfindung, bei denen ein ”massives” Substrat verwendet wird, wird der Halbleiterfilm 304 auf eine gewünschte Tiefe geätzt. Bestens bekannte Halbleiter-Ätztechniken, wie zum Beispiel anisotropes Plasma-Ätzen oder reaktives Ionenätzen, können zum Definieren der Halbleiterkörper 305 verwendet werden, wie in 3H gezeigt. Zu diesem Zeitpunkt können die Abstandshalterstrukturen 352 und der Photoresist mittels gemeinhin bekannter Techniken entfernt werden. An diesem Punkt können Ausführungsformen, bei denen die Halbleiterkörper 305 ein lithographisches Rastermaß haben, sowie Ausführungsformen, bei denen die Halbleiterkörper 305 ein sublithographisches Rastermaß haben, beide durch 3H dargestellt werden.
  • Als nächstes wird eine Gate-Dielektrikum-Schicht, wie in 3I gezeigt, auf jedem Halbleiterkörper 305 in einer Weise ausgebildet, die sich nach Typ des nicht-planaren Bauelements richtet (Doppelgatter, Dreifachgatter, Omega-Gatter, Kohlenstoff-Nanoröhren). In einer Ausführungsform der vorliegenden Erfindung wird eine Gate-Dielektrikum-Schicht 312 auf der Oberseite eines jeden der Halbleiterkörper 305 sowie auf den seitlich gegenüberliegenden Seitenwänden eines jeden der Halbleiterkörper 305 ausgebildet. Das Gate-Dielektrikum kann ein abgeschiedenes Dielektrikum oder ein aufgewachsenes Dielektrikum sein. In einer Ausführungsform der vorliegenden Erfindung ist die Gate-Dielektrikum-Schicht 312 ein Siliziumdioxid- Dielektrikumfilm, der mittels eines Trocken/Naß-Oxidationsprozesses aufgewachsen wurde. In einer Ausführungsform der vorliegenden Erfindung wird der Siliziumoxidfilm auf eine Dicke zwischen 0,5 und 1,5 nm aufgewachsen. In einer Ausführungsform der vorliegenden Erfindung ist der Gate-Dielektrikumfilm 312 ein abgeschiedenes Dielektrikum, wie zum Beispiel – ohne darauf beschränkt zu sein – ein Film mit einer hohen Dielektrizitätskonstante, wie zum Beispiel ein Metalloxid-Dielektrikum, wie zum Beispiel Tantalpentaoxid, Titanoxid, Hafniumoxid, Zirconiumoxid, Aluminiumoxid, oder sonstige Dielektrika mit hohem K-Wert, wie zum Beispiel Barium-Strontium-Titanat (BST). Ein Film mit hoher Dielektrizitätskonstante kann durch bestens bekannte Techniken ausgebildet werden, wie zum Beispiel durch chemische Dampfabscheidung (CVD) und Atomschichtabscheidung (ALD). In einer Ausführungsform der vorliegenden Erfindung kann das Gate-Dielektrikum aus einem Verbund solcher Filme bestehen.
  • Als nächstes, wie in 3I gezeigt, wird eine Gate-Elektrode 313 ausgebildet. Die Gate-Elektrode 313 wird auf der Gate-Dielektrikum-Schicht 312 ausgebildet, die auf der Oberseite eines jeden der Halbleiterkörper 305 ausgebildet ist, und wird auf oder neben dem Gate-Dielektrikum 312 ausgebildet, das an oder neben den Seitenwänden eines jeden der Halbleiterkörper 305 ausgebildet ist, wie in 3I gezeigt. Die Gate-Elektrode kann auf eine Dicke zwischen 20 und 300 nm ausgebildet werden. In einer Ausführungsform hat die Gate-Elektrode eine Dicke von mindestens dem Dreifachen der Höhe der Halbleiterkörper 305. In einer Ausführungsform der vorliegenden Erfindung umfaßt das Gate-Elektrodenmaterial polykristallines Silizium. In einer anderen Ausführungsform der vorliegenden Erfindung umfaßt das Gate-Elektrodenmaterial eine polykristalline Silizium-Germanium-Legierung. In weiteren Ausführungsformen der vorliegenden Erfindung kann das Gate-Elektrodenmaterial einen metallischen Film wie zum Beispiel Nickel, Kobalt, Wolfram, Titan, Tantal, Aluminium und deren Nitride und Silicide umfassen. In einer weiteren Ausführungsform der vorliegenden Erfindung kann die Gate-Elektrode eine Kohlenstoff-Nanoröhre sein. Die Gate-Elektrode 313 kann durch bestens bekannte Techniken ausgebildet werden, wie zum Beispiel durch Deckabscheidung eines Gate-Elektrodenmaterials über dem Substrat und anschließendes Strukturieren des Gate-Elektrodenmaterials mittels bestens bekannter Photolithographie- und Ätztechniken. In bestimmten Ausführungsformen der vorliegenden Erfindung verwendet der Photolithographie-Prozeß, der zum Definieren der Gate-Elektrode 313 benutzt wird, den Lithographie-Prozeß der kleinsten oder Mindestabmessung, der zum Herstellen des nicht-planaren Transistors verwendet wird. In einer Ausführungsform der vorliegenden Erfindung können ein Prozeß vom Stab-Typ ähnlich dem, der für das Definieren der Halbleiterkörper 305 beschrieben wurde, oder gemeinhin be kannte Oxidationstechniken verwendet werden, um eine Gate-Elektrode 313 mit sublithographischen Abmessungen auszubilden. In anderen Ausführungsformen der vorliegenden Erfindung werden ”Austauschgate”-Verfahren verwendet, um die Gate-Elektrode 313 auszubilden.
  • Als nächstes werden Source-Regionen 316 und Drain-Regionen 317 für den Transistor in dem Halbleiterkörper 305 auf gegenüberliegenden Seiten der Gate-Elektrode 313 ausgebildet, wie in 3I gezeigt. In einer Ausführungsform der vorliegenden Erfindung enthalten die Source- und Drain-Regionen Spitzen- oder Source/Drain-Erweiterungsregionen, die durch das Einarbeiten von Dotanden in Halbleiterkörper der Gate-Elektrode ausgebildet werden können. Wenn Source- und Drain-Kontaktinseln 318 und 319 benutzt werden, so können sie zu diesem Zeitpunkt ebenfalls dotiert werden. Für einen PMOS-Dreifachgatter-Transistor werden die Halbleiterrippen oder -körper 305 auf eine Leitfähigkeit vom p-Typ und auf eine Konzentration zwischen 1 × 1020 und 1 × 1021 Atomen/cm3 dotiert. Für einen NMOS-Dreifachgatter-Transistor werden die Halbleiterrippen oder -körper 305 mit Ionen mit einer Leitfähigkeit vom n-Typ auf eine Konzentration zwischen 1 × 1020 und 1 × 1021 Atomen/cm3 dotiert. In einer Ausführungsform der vorliegenden Erfindung werden die Siliziumfilme durch Ionenimplantation dotiert. In einer weiteren Ausführungsform der vorliegenden Erfindung erfolgt die Ionenimplantation in einer vertikalen Richtung. Wenn die Gate-Elektrode 313 eine Polysilizium-Gate-Elektrode ist, so kann sie während des Ionenimplantationsprozesses dotiert werden. Die Gate-Elektrode 313 fungiert als eine Maske, um zu verhindern, daß der Ionenimplantationsschritt die Kanalregion(en) des Dreifachgatter-Transistors dotiert. Die Kanalregion ist der Abschnitt des Siliziumkörpers 305, der sich unter der Gate-Elektrode 313 befindet oder von der Gate-Elektrode 313 umgeben wird. Wenn die Gate-Elektrode 313 eine metallische Elektrode ist, so kann eine dielektrische Hartmaske verwendet werden, um das Dotieren während des Ionenimplantationsprozesses zu verhindern. In anderen Ausführungsformen können andere Verfahren, wie zum Beispiel Festquellendiffusion, zum Dotieren des Halbleiterkörpers verwendet werden, um Source- und Drain-Erweiterungen auszubilden. In Ausführungsformen der vorliegenden Erfindung können vor der Ausbildung von Source/Drain-Regionen oder Source/Drain-Erweiterungsregionen ”Hof”-Regionen in dem Siliziumkörper ausgebildet werden.
  • Als nächstes kann das Substrat gewünschtenfalls weiterverarbeitet werden, so daß weitere Strukturbereiche entstehen, wie zum Beispiel stark dotierte Source/Drain-Kontaktregionen, abgeschiedenes Silizium oder Silizium-Germanium auf den Source- und Drain-Regionen sowie der Gate-Elektrode, und die Ausbildung con Silicid auf den Source/Drain-Kontaktregionen sowie auf der Gate-Elektrode. In Ausführungsformen der vorliegenden Erfindung können dielektrische Seitenwand-Abstandshalter an den Seitenwänden der Gate-Elektrode ausgebildet werden. Seitenwand-Abstandshalter können dafür verwendet werden, um starke Source/Drain-Kontaktimplantierungen zu versetzen, oder können dafür verwendet werden, Source/Drain-Regionen während selektiver Silizium- oder Silizium-Germanium-Abscheidungs/Aufwachsungsprozesse von der Gate-Elektrode zu isolieren, und können in eine, Silicidprozeß verwendet werden, um Silicid oder Germanicid auf den Source- und Drain-Regionen sowie auf der Gate-Elektrode auszubilden. In bestimmten Ausführungsformen der vorliegenden Erfindung wird eine vollständige Silicidierung (FUSI) ausgeführt.
  • Als nächstes wird das Bauelement mit einer isolierenden Schicht oder einem Zwischenschichtdielektrikum (ZSD) 322, wie in 3J gezeigt, mit einer ausreichenden Dicke verkapselt, um das Bauelement zu isolieren. In bestimmten Ausführungsformen der vorliegenden Erfindung ist das ZSD 322 ein gemeinhin bekanntes Material, wie zum Beispiel Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), Tetraethylorthosilikat (TEOS), Siliziumdioxid, Siliziumnitrid oder ein Verbund solcher Materialien. In einer Ausführungsform der vorliegenden Erfindung ist das ZSD 322 ein Material mit einer niedrigeren Dielektrizitätskonstante als Siliziumdioxid, wie zum Beispiel – ohne darauf beschränkt zu sein – ein poröses dielektrisches Material oder kohlenstoffdotiertes dielektrisches Silikatmaterial. Das ZSD kann durch gemeinhin bekannte Prozesse als Deckschicht abgeschieden werden, wie zum Beispiel – ohne darauf beschränkt zu sein – durch chemische Niederdruckdampfabscheidung (LPCVD), PECVD und HDP.
  • Als nächstes wird das ZSD 322 strukturiert und geätzt, um die Stelle von Öffnungen für die metallischen Kontaktstrukturen zu definieren. Erfindungsgemäß muss eine der in 3K gezeigten Öffnungen 360 oder 363 aus zwei Öffnungen bestehen, was 3K jedoch nicht zeigt. In bestimmten Ausführungsformen der vorliegenden Erfindung hat der Photolithographie-Prozeß, der zum Definieren der Kontaktöffnungen 360 und 363 verwendet wird, ein kleinstes lithographisches Rastermaß größer als das Rastermaß der Halbleiterkörper 305. In einer Ausführungsform der vorliegenden Erfindung ist der Photolithographie-Prozeß, der zum Definieren der Kontaktöffnungen 360 und 363 verwendet wird, 193 nm. In einer Ausführungsform der vorliegenden Erfindung haben die Kontaktöffnungen 360 oder 363 eine Breite 361 größer als das Rastermaß der Halbleiterkörper 305, aber gerin ger als die Anzahl der Halbleiterkörper 305, multipliziert mit dem Rastermaß der Halbleiterkörper 305, und eine Länge 362 in der Größenordnung der Größe der kleinsten lithographischen Strukturelemente. In bestimmten Ausführungsformen der vorliegenden Erfindung haben die Kontaktöffnungen 360 und 363 eine Breite 361 von deutlich größer als die Länge 362. In bestimmten Ausführungsformen der vorliegenden Erfindung können die Kontaktöffnungen 360 und 363 als ”eindimensionale” Schlitze abgebildet werden, die eine Länge 362 kleiner als eine Länge haben können, die möglich wäre, wenn die Kontaktöffnung zweidimensional wäre (mit einer Breite ungefähr gleich der Länge). In einer Ausführungsform der vorliegenden Erfindung sind die Abmessungen der Kontaktöffnungen 360 und 363 nicht gleich.
  • In einer Ausführungsform der vorliegenden Erfindung enden die Kontaktöffnungen 360 und 363 auf der Source-Kontaktinsel 318 bzw. der Drain-Kontaktinsel 319. In einer anderen Ausführungsform der vorliegenden Erfindung, bei der keine Source- oder Drain-Kontaktinsel verwendet wird, sind die Kontaktöffnungen 360 und 363 so angeordnet, daß die mehreren Source-Regionen 316 bzw. die mehreren Drain-Regionen 317 frei liegen. In bestimmten Ausführungsformen der vorliegenden Erfindung werden die Kontaktöffnungen in das ZSD 322 mittels eines gemeinhin bekannten anisotropen Plasma- oder reaktiven Ionenätzprozesses geätzt, der genügend Selektivität für die Halbleiter-Source-Regionen 316 und -Drain-Regionen 317 besitzt, damit das ZSD 322 vollständig entfernt wird, um die nicht-planaren Source-Regionen 316 und die nicht-planaren Drain-Regionen 317 (oder Kontaktinseln 318 und 319) freizulegen.
  • Als nächstes werden die Kontaktöffnungen 360 und 363 einer Metallisierung gefüllt, um metallische Kontaktstrukturen 323 und 326 zu bilden, wobei gemäß Anspruch 10 der vorliegenden Erfindung eine der metallischen Kontaktstrukturen 323 und 326 zwei metallische Kontakte aufweisen muss, was jedoch in 3L nicht gezeigt ist. Die Kontaktstrukturen 323 und 326 können aus einem gemeinhin bekannten leitfähigen Material gebildet werden, wie zum Beispiel – ohne darauf beschränkt zu sein – Kupfer, Wolfram, Aluminium, Gold oder Kohlenstoff-Nanoröhren. Das Füllen der Kontaktöffnungen 360 wird mittels einer beliebigen derzeit bekannten Technik ausgeführt, wie zum Beispiel – ohne darauf beschränkt zu sein – mittels physikalischer Dampfabscheidung (PVD), CVD, ALD, autokatalytischer oder elektrolytischer Plattierung oder einer Kombination dieser Techniken. Gewünschtenfalls kann das Verfahren des Füllens der Kontaktöffnungen 360 das Abscheiden einer gemeinhin bekannten Sperrschicht enthalten, wie zum Beispiel – ohne darauf beschränkt zu sein – Tantal oder Tantalnitrid oder andere intermetallische Phasen. Gewünschtenfalls kann das Verfahren des Füllens der Kontaktöffnungen 360 das Abscheiden einer gemeinhin bekannten Keimschicht enthalten, wie zum Beispiel – ohne darauf beschränkt zu sein – Kupfer, Titan oder andere intermetallische Phasen.
  • Als nächstes wird das leitfähige Material, das zum Ausbilden der metallischen Kontaktstrukturen 323 und 326 verwendet wird, wie in 3L gezeigt, unter Verwendung gemeinhin bekannter elektrolytischer, chemischer oder mechanischer Mittel zum Entfernen oder einer Kombination daraus zurückpoliert. In einer Ausführungsform der vorliegenden Erfindung wird ein Prozeß des chemisch-mechanischen Polierens (CMP) in einer Damaszen- oder Doppeldamaszentechnik verwendet. Auf diese Weise können die leitfähigen Kontaktstrukturen 323 und 326 so planarisiert werden, daß sie im Wesentlichen mit der ZSD 322 bündig sind, und können gewünschtenfalls anschließend mit weiteren Metallisierungsebenen verbunden werden.
  • Somit wurden also ein Bauelement mit mehreren Nanomaßstabskanälen mit einer neuartigen Kontaktarchitektur und ein Verfahren zur Herstellung beschrieben.

Claims (17)

  1. Bauelement, das umfaßt: mehrere parallele Halbleiterkörper, wobei jeder der mehreren parallelen Körper eine Oberseite und ein Paar sich seitlich gegenüberliegender Seitenwände aufweist, wobei jeder der parallelen Körper einen Kanalabschnitt zwischen einer Source-Region und einer Drain-Region aufweist; eine Gate-Elektrode, die neben und über der Kanalregion eines jeden der mehreren Körper ausgebildet ist; einen ersten metallischen Source- oder Drain-Kontakt, der mit einer ersten Vielzahl der mehreren parallelen Körper verbunden ist und sich zwischen diesen auf einer ersten Seite der Gate-Elektrode erstreckt; einen zweiten metallischen Source- oder Drain-Kontakt, der mit einer zweiten Vielzahl der mehreren parallelen Körper verbunden ist und sich zwischen diesen auf der ersten Seite der Gate-Elektrode erstreckt; und einen dritten metallischen Drain- oder Source-Kontakt, der mit der ersten und zweiten Vielzahl der mehreren parallelen Körper verbunden ist und sich zwischen diesen auf einer zweiten Seite der Gate-Elektrode erstreckt.
  2. Bauelement nach Anspruch 1, wobei die parallelen Körper eine erste Breite und ein erstes Rastermaß haben, wobei das erste Rastermaß geringer als ein Rastermaß ist, das mittels eines Photolithographie-Prozesses definiert werden kann.
  3. Bauelement nach Anspruch 2, wobei der Photolithographie-Prozeß eine 193 Nanometer-Lithographie verwendet.
  4. Bauelement nach Anspruch 2, wobei das erste Rastermaß geringer als 110 Nanometer ist.
  5. Bauelement nach Anspruch 2, wobei das Rastermaß die Hälfte des Rastermaßes ist, das mittels des Photolithographie-Prozesses definiert werden kann.
  6. Bauelement nach Anspruch 1, das ferner eine Source- oder Drain-Kontaktinsel in direktem Kontakt mit den Source- oder Drain-Regionen der mehreren parallelen Körper umfaßt, wobei die Source- oder Drain-Kontaktinsel aus dem gleichen Material wie die parallelen Körper gebildet ist und wobei der metallische Source- oder Drain-Kontakt in direktem Kontakt mit der Source- oder Drain-Kontaktinsel ausgebildet ist.
  7. Bauelement nach Anspruch 1, wobei die mehreren parallelen Körper aus einem Material gebildet sind, das aus folgender Gruppe ausgewählt ist: Silizium, Germanium, Silizium-Germanium, GaAs, InSb und Kohlenstoff-Nanoröhren.
  8. Halbleiterbauelement nach Anspruch 7, wobei die mehreren parallelen Körper auf einem isolierenden Substrat ausgebildet sind.
  9. Bauelement nach Anspruch 1, wobei der dritte Source- oder Drain-Kontakt ferner einen parallelen Halbleiterkörper, der elektrisch nicht mit dem ersten oder zweiten Source- oder Drain-Kontakt verbunden ist, freilässt.
  10. Verfahren zum Ausbilden eines Halbleiterbauelements, wobei das Verfahren umfaßt: Ausbilden mehrerer paralleler Halbleiterkörper, wobei jeder der Halbleiterkörper eine Kanalregion zwischen einer Source-Region und einer Drain-Region aufweist; Ausbilden einer Gate-Elektrode über und neben den Kanalregionen der mehreren parallelen Halbleiterkörper; Ausbilden einer Dielektrikum-Schicht über der Gate-Elektrode und den mehreren parallelen Halbleiterkörpern; Ausbilden einer ersten Source- oder Drain-Kontaktöffnung in der Dielektrikum-Schicht, die sich zwischen einer ersten Vielzahl der mehreren parallelen Halbleiterkörper auf einer ersten Seite der Gate-Elektrode erstreckt und diese freilegt, Ausbilden einer zweiten Source oder Drain-Kontaktöffnung in der Dielektrikum-Schicht, die sich zwischen einer zweiten Vielzahl der mehreren parallelen Halbleiterkörper auf der ersten Seite der Gate-Elektrode erstreckt und diese freilegt; Ausbilden einer dritten Source- oder Drain-Kontaktöffnung in der Dielektrikum-Schicht, die sich zwischen der ersten und zweiten Vielzahl der Halbleiterkörper auf einer zweiten Seite der Gate-Elektrode erstreckt und diese freilegt; und Füllen der ersten und dritten Source- oder Drain-Kontaktöffnungen mit einem metallischen Film, wobei der metallische Film mit den Source-Regionen und den Drain-Regionen der mehreren parallelen Halbleiterkörper in Kontakt steht.
  11. Verfahren nach Anspruch 10, wobei das Ausbilden der mehreren parallelen Halbleiterkörper weiter umfasst: Ausbilden mehrerer Abstandshalter mit einem ersten Rastermaß über einem Halbleiterfilm; und Ätzen des Halbleiterfilms in Ausrichtung auf die mehreren parallelen Abstandshalter, um die mehreren parallelen Halbleiterkörper zu bilden.
  12. Verfahren nach Anspruch 11, wobei die mehreren parallelen Abstandshalter durch ein Verfahren ausgebildet werden, das umfaßt: Ausbilden einer ersten Struktur aus parallelen Strukturelementen mit einem zweiten Rastermaß aus einem ersten Material, wobei das zweite Rastermaß größer als das erste Rastermaß ist; Deckabscheiden eines konformen Films eines zweiten Materials über und neben der ersten Struktur aus parallelen Strukturelementen; und anisotropes Ätzen des konformen Films, um die mehreren parallelen Abstandshalter aus dem zweiten Material zu bilden; und Entfernen der ersten Struktur aus parallelen Strukturelementen aus dem ersten Material.
  13. Verfahren nach Anspruch 12, wobei die erste Struktur aus parallelen Strukturelementen durch Ausbilden einer Photoresist-Maske über dem ersten Material und anisotropes Ätzen des ersten Materials in Ausrichtung auf die Photoresist-Maske ausgebildet wird.
  14. Verfahren nach Anspruch 13, wobei die Photoresist-Maske ausgebildet wird durch: Deckabscheiden eines Photoresistfilms und Strukturieren des Photoresistfilms zu mehreren parallelen Strukturelementen, die das zweite Rastermaß aufweisen, welches das kleinste Rastermaß darstellt, das in dem Photoresistfilm mittels eines Photolithographie-Prozesses definiert werden kann.
  15. Verfahren nach Anspruch 10, wobei der metallische Film in den Source- und Drain-Öffnungen und auf die Oberseite der Dielektrikum-Schicht deckabgeschieden wird; und Zurückpolieren des metallischen Films von der Oberseite der Dielektrikum-Schicht, um einen einzelnen Source- oder Drain-Kontakt auf der zweiten Seite der Gate-Elektrode und eine Vielzahl von Source- oder Drain-Kontakten auf der ersten Seite der Gate-Elektrode auszubilden.
  16. Verfahren nach Anspruch 15, wobei der metallische Film in der Öffnung mittels eines autokatalytischen oder elektrolytischen Abscheidungsprozesses ausgebildet wird.
  17. Verfahren nach Anspruch 10, wobei die dritte Source- oder Drain-Kontaktöffnung ferner einen parallelen Halbleiterkörper, der elektrisch nicht mit dem ersten oder zweiten Source- oder Drain-Kontakt verbunden ist, freilässt.
DE112006001735T 2005-06-30 2006-06-29 Blockkontaktarchitekturen für Transistoren mit Kanälen in einer Nano-Größenordnung und Verfahren zum Ausbilden Active DE112006001735B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/173,866 US7279375B2 (en) 2005-06-30 2005-06-30 Block contact architectures for nanoscale channel transistors
US11/173,866 2005-06-30
PCT/US2006/025751 WO2007005697A2 (en) 2005-06-30 2006-06-29 Block contact architectures for nanoscale channel transistors

Publications (2)

Publication Number Publication Date
DE112006001735T5 DE112006001735T5 (de) 2008-08-28
DE112006001735B4 true DE112006001735B4 (de) 2010-11-18

Family

ID=37433903

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112006001735T Active DE112006001735B4 (de) 2005-06-30 2006-06-29 Blockkontaktarchitekturen für Transistoren mit Kanälen in einer Nano-Größenordnung und Verfahren zum Ausbilden

Country Status (8)

Country Link
US (2) US7279375B2 (de)
JP (1) JP2008544558A (de)
KR (1) KR101021369B1 (de)
CN (1) CN101208805B (de)
DE (1) DE112006001735B4 (de)
GB (1) GB2442379B (de)
TW (1) TWI314779B (de)
WO (1) WO2007005697A2 (de)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1595285A1 (de) * 2003-01-30 2005-11-16 X-FAB Semiconductor Foundries AG Soi kontaktstruktur(en) und zugehöriges herstellungsverfahren
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
KR100632475B1 (ko) * 2004-07-26 2006-10-09 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터의 제조 방법 및이에 의해 제조된 멀티 게이트 트랜지스터
KR100545863B1 (ko) * 2004-07-30 2006-01-24 삼성전자주식회사 핀 구조물을 갖는 반도체 장치 및 이를 제조하는 방법
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7339241B2 (en) * 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7381655B2 (en) * 2005-09-14 2008-06-03 International Business Machines Corporation Mandrel/trim alignment in SIT processing
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7638381B2 (en) * 2005-10-07 2009-12-29 International Business Machines Corporation Methods for fabricating a semiconductor structure using a mandrel and semiconductor structures formed thereby
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
US7968394B2 (en) * 2005-12-16 2011-06-28 Freescale Semiconductor, Inc. Transistor with immersed contacts and methods of forming thereof
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
JP2007299991A (ja) * 2006-05-01 2007-11-15 Toshiba Corp 半導体装置及びその製造方法
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
KR101225641B1 (ko) * 2006-12-27 2013-01-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
WO2008109204A2 (en) 2007-01-30 2008-09-12 Rf Nano Corporation Multifinger carbon nanotube field-effect transistor
US8039870B2 (en) * 2008-01-28 2011-10-18 Rf Nano Corporation Multifinger carbon nanotube field-effect transistor
US20080237672A1 (en) * 2007-03-30 2008-10-02 Doyle Brian S High density memory
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080290414A1 (en) * 2007-05-24 2008-11-27 Texas Instruments Incorporated Integrating strain engineering to maximize system-on-a-chip performance
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7898040B2 (en) * 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US8134208B2 (en) * 2007-09-26 2012-03-13 Globalfoundries Inc. Semiconductor device having decreased contact resistance
US8043978B2 (en) * 2007-10-11 2011-10-25 Riken Electronic device and method for producing electronic device
US7910994B2 (en) * 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
ES2489615T3 (es) * 2007-12-11 2014-09-02 Apoteknos Para La Piel, S.L. Uso de un compuesto derivado del acido p-hidroxifenil propionico para el tratamiento de la psoriasis
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
JP4591525B2 (ja) * 2008-03-12 2010-12-01 ソニー株式会社 半導体装置
US7833889B2 (en) 2008-03-14 2010-11-16 Intel Corporation Apparatus and methods for improving multi-gate device performance
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8076208B2 (en) * 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
US7884354B2 (en) * 2008-07-31 2011-02-08 Intel Corporation Germanium on insulator (GOI) semiconductor substrates
US7781283B2 (en) * 2008-08-15 2010-08-24 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US7979836B2 (en) * 2008-08-15 2011-07-12 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
KR101104248B1 (ko) * 2008-12-23 2012-01-11 한국전자통신연구원 자기 정렬 전계 효과 트랜지스터 구조체
TWI392093B (zh) * 2009-01-09 2013-04-01 Univ Nat Sun Yat Sen 金屬氧化物半導體裝置及其製造方法
US8222154B2 (en) * 2009-02-10 2012-07-17 International Business Machines Corporation Fin and finFET formation by angled ion implantation
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8184472B2 (en) * 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8053318B2 (en) * 2009-06-25 2011-11-08 International Business Machines Corporation FET with replacement gate structure and method of fabricating the same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
JP4922373B2 (ja) * 2009-09-16 2012-04-25 株式会社東芝 半導体装置およびその製造方法
US8946028B2 (en) * 2009-10-06 2015-02-03 International Business Machines Corporation Merged FinFETs and method of manufacturing the same
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8129247B2 (en) * 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8097515B2 (en) * 2009-12-04 2012-01-17 International Business Machines Corporation Self-aligned contacts for nanowire field effect transistors
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8143113B2 (en) * 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8440998B2 (en) 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US20110147840A1 (en) * 2009-12-23 2011-06-23 Cea Stephen M Wrap-around contacts for finfet and tri-gate devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8722492B2 (en) 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
KR101819197B1 (ko) * 2010-02-05 2018-02-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치, 및 반도체 장치의 제조 방법
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) * 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8513099B2 (en) * 2010-06-17 2013-08-20 International Business Machines Corporation Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
US8298881B2 (en) * 2010-06-28 2012-10-30 International Business Machines Corporation Nanowire FET with trapezoid gate structure
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8268689B2 (en) * 2010-08-23 2012-09-18 International Business Machines Corporation Multiple threshold voltages in field effect transistor devices
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
JP5654818B2 (ja) * 2010-09-27 2015-01-14 ルネサスエレクトロニクス株式会社 パワー系半導体装置の製造方法
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8753964B2 (en) * 2011-01-27 2014-06-17 International Business Machines Corporation FinFET structure having fully silicided fin
KR101140010B1 (ko) * 2011-02-28 2012-06-14 에스케이하이닉스 주식회사 반도체 소자 및 그 형성방법
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
FR2973570A1 (fr) * 2011-04-01 2012-10-05 St Microelectronics Sa Transistor a tension d'alimentation et/ou de seuil ajustables
US8728892B2 (en) * 2011-05-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive fin design for FinFETs
US8785911B2 (en) * 2011-06-23 2014-07-22 International Business Machines Corporation Graphene or carbon nanotube devices with localized bottom gates and gate dielectric
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
EP2761663B1 (de) * 2011-09-29 2016-09-14 Intel Corporation Verfahren zum abscheiden von elektropositivem metall enthaltenden schichten für halbleiteranwendungen
JP5562921B2 (ja) * 2011-10-21 2014-07-30 株式会社東芝 半導体装置
US8693235B2 (en) * 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US8664729B2 (en) * 2011-12-14 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reduced gate resistance finFET
KR101631778B1 (ko) 2011-12-23 2016-06-24 인텔 코포레이션 랩-어라운드 컨택트들을 가진 나노와이어 구조들
DE112011106023T5 (de) * 2011-12-23 2014-09-11 Intel Corporation Nanodrahtstrukturen mit nicht diskreten Source- und Drain-Gebieten
KR101675121B1 (ko) * 2011-12-30 2016-11-10 인텔 코포레이션 랩어라운드 트렌치 콘택을 포함하는 장치, 구조물 및 제조 방법
CN103296083A (zh) * 2012-02-27 2013-09-11 中国科学院微电子研究所 半导体场效应晶体管及其制作方法
US20130221414A1 (en) * 2012-02-27 2013-08-29 Chao Zhao Semiconductor FET and Method for Manufacturing the Same
US20130240997A1 (en) * 2012-03-19 2013-09-19 International Business Machines Corporation Contact bars for modifying stress in semiconductor device and related method
US8927432B2 (en) * 2012-06-14 2015-01-06 International Business Machines Corporation Continuously scalable width and height semiconductor fins
US9093556B2 (en) * 2012-08-21 2015-07-28 Stmicroelectronics, Inc. Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods
US9064745B2 (en) * 2012-08-29 2015-06-23 International Business Machines Corporation Sublithographic width finFET employing solid phase epitaxy
US9041106B2 (en) * 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US20140106529A1 (en) * 2012-10-16 2014-04-17 Stmicroelectronics (Crolles 2) Sas Finfet device with silicided source-drain regions and method of making same using a two step anneal
NL2009833C2 (nl) * 2012-11-16 2014-05-19 People Creating Value Holding B V Inrichting voor het bereiden van een drank alsmede een zetinrichting.
KR101983633B1 (ko) 2012-11-30 2019-05-29 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9397217B2 (en) * 2012-12-28 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of non-planar semiconductor device
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
KR102049774B1 (ko) 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9123654B2 (en) * 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US9653615B2 (en) 2013-03-13 2017-05-16 International Business Machines Corporation Hybrid ETSOI structure to minimize noise coupling from TSV
CN103219384B (zh) * 2013-04-03 2015-05-20 北京大学 一种抗单粒子辐射的多栅器件及其制备方法
US9111801B2 (en) 2013-04-04 2015-08-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
TWI575564B (zh) * 2013-04-10 2017-03-21 聯華電子股份有限公司 半導體結構製作方法
US9337261B2 (en) * 2013-04-10 2016-05-10 GlobalFoundries, Inc. Method of forming microelectronic or micromechanical structures
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US20150001630A1 (en) * 2013-06-27 2015-01-01 GlobalFoundries, Inc. Structure and methods of fabricating y-shaped dmos finfet
US9349850B2 (en) 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
KR102068980B1 (ko) 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
DE102014220672A1 (de) 2013-10-22 2015-05-07 Semiconductor Energy Laboratory Co., Ltd. Halbleitervorrichtung
KR101897569B1 (ko) * 2013-12-04 2018-09-13 코웨이 주식회사 커피추출장치
JP6325669B2 (ja) * 2013-12-19 2018-05-16 インテル・コーポレーション 半導体構造、集積回路構造、及びそれらの製造方法
EP2887399B1 (de) * 2013-12-20 2017-08-30 Imec Verfahren zur Herstellung einer Transistorvorrichtung und zugehörige Vorrichtung
US20150194433A1 (en) * 2014-01-08 2015-07-09 Broadcom Corporation Gate substantial contact based one-time programmable device
KR102224525B1 (ko) 2014-02-03 2021-03-08 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용하여 제조한 반도체 장치 및 그 반도체 장치의 제조 방법
US9397101B2 (en) * 2014-03-06 2016-07-19 Qualcomm Incorporated Stacked common gate finFET devices for area optimization
US9299781B2 (en) 2014-04-01 2016-03-29 Globalfoundries Inc. Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material
US9171934B2 (en) * 2014-04-01 2015-10-27 Globalfoundries Inc. Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
US9590105B2 (en) * 2014-04-07 2017-03-07 National Chiao-Tung University Semiconductor device with metal alloy over fin, conductive layer over channel region of fin, and semiconductive layer over conductive layer and formation thereof
US9466669B2 (en) * 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
JP6537341B2 (ja) * 2014-05-07 2019-07-03 株式会社半導体エネルギー研究所 半導体装置
CN106575650B (zh) * 2014-05-08 2019-10-18 英特尔公司 用于集成电路的颈缩互连熔丝结构
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
WO2015199709A1 (en) * 2014-06-27 2015-12-30 Intel Corporation Non-linear fin-based devices
US9917240B2 (en) 2014-07-24 2018-03-13 Samsung Electronics Co., Ltd. Thermoelectric element, method of manufacturing the same and semiconductor device including the same
US9466731B2 (en) * 2014-08-12 2016-10-11 Empire Technology Development Llc Dual channel memory
US9893159B2 (en) 2014-08-15 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9985026B2 (en) 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
JP6373686B2 (ja) * 2014-08-22 2018-08-15 ルネサスエレクトロニクス株式会社 半導体装置
KR102230198B1 (ko) 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9362285B2 (en) 2014-10-02 2016-06-07 International Business Machines Corporation Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
TWI678768B (zh) * 2014-11-20 2019-12-01 日商新力股份有限公司 半導體裝置
KR102174144B1 (ko) * 2014-12-03 2020-11-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20160163646A1 (en) * 2014-12-05 2016-06-09 Qualcomm Incorporated Strapped contact in a semiconductor device
TWI641135B (zh) * 2014-12-12 2018-11-11 聯華電子股份有限公司 具有磊晶結構之鰭狀場效電晶體
US9472574B2 (en) * 2015-01-29 2016-10-18 Globalfoundries Inc. Ultrathin body (UTB) FinFET semiconductor structure
KR102301503B1 (ko) 2015-02-02 2021-09-13 삼성디스플레이 주식회사 폴더블 표시 장치
KR102310080B1 (ko) 2015-03-02 2021-10-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102407994B1 (ko) * 2015-03-23 2022-06-14 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102251060B1 (ko) 2015-04-06 2021-05-14 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10367070B2 (en) 2015-09-24 2019-07-30 Intel Corporation Methods of forming backside self-aligned vias and structures formed thereby
WO2017052630A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Wrap-around source/drain method of making contacts for backside metals
US9449986B1 (en) 2015-10-13 2016-09-20 Samsung Electronics Co., Ltd. 3-dimensional memory device having peripheral circuit devices having source/drain contacts with different spacings
US10026662B2 (en) * 2015-11-06 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
KR102415328B1 (ko) * 2015-12-03 2022-06-30 삼성전자주식회사 전기적 특성을 개선할 수 있는 에스램 소자 및 이를 포함하는 로직 소자
US9679965B1 (en) 2015-12-07 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device having a gate all around structure and a method for fabricating the same
US9899490B2 (en) * 2016-02-03 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with changeable gate length and method for forming the same
JPWO2017145906A1 (ja) * 2016-02-25 2018-12-27 株式会社ソシオネクスト 半導体集積回路装置
EP3440705A4 (de) 2016-04-01 2019-11-13 INTEL Corporation Transistorzellen mit einer tiefen durchkontaktierung mit auskleidung aus dielektrischem material
US9755073B1 (en) * 2016-05-11 2017-09-05 International Business Machines Corporation Fabrication of vertical field effect transistor structure with strained channels
US9905663B2 (en) 2016-06-24 2018-02-27 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with a reduced contact resistance
US10283590B2 (en) * 2016-07-06 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field-effect transistors having contacts to 2D material active region
WO2018106233A1 (en) 2016-12-07 2018-06-14 Intel Corporation Integrated circuit device with crenellated metal trace layout
US10872820B2 (en) 2016-08-26 2020-12-22 Intel Corporation Integrated circuit structures
TWI624064B (zh) * 2016-08-29 2018-05-11 雋佾科技有限公司 波浪式場效電晶體結構
US10516047B2 (en) * 2016-11-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN108257968A (zh) * 2016-12-28 2018-07-06 上海新昇半导体科技有限公司 一种无结半导体沟道栅阵列存储器结构及其制备方法
KR101921627B1 (ko) * 2017-06-16 2018-11-26 한국과학기술연구원 전계 효과 트랜지스터, 이를 구비한 바이오 센서, 전계 효과 트랜지스터의 제조방법 및 바이오 센서의 제조방법
KR102365109B1 (ko) 2017-08-22 2022-02-18 삼성전자주식회사 집적회로 장치
US10332985B2 (en) * 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2019132863A1 (en) 2017-12-26 2019-07-04 Intel Corporation Stacked transistors with contact last
CN110164969A (zh) * 2018-02-13 2019-08-23 隽佾科技有限公司 波浪式场效晶体管结构
CN110190122B (zh) * 2018-02-23 2022-07-12 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US20190267491A1 (en) * 2018-02-27 2019-08-29 Bruckewell Technology Corp., Ltd. Wavy fet structure
WO2019172879A1 (en) 2018-03-05 2019-09-12 Intel Corporation Metallization structures for stacked device connectivity and their methods of fabrication
US10790271B2 (en) * 2018-04-17 2020-09-29 International Business Machines Corporation Perpendicular stacked field-effect transistor device
WO2019244387A1 (ja) * 2018-06-19 2019-12-26 パナソニックIpマネジメント株式会社 半導体装置
US11688780B2 (en) 2019-03-22 2023-06-27 Intel Corporation Deep source and drain for transistor structures with back-side contact metallization
US20230031274A1 (en) * 2021-07-28 2023-02-02 Nanya Technology Corporation Semiconductor device structure with conductive contacts of different widths and method for preparing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US20040108523A1 (en) * 2002-12-06 2004-06-10 Hao-Yu Chen Multiple-gate transistor structure and method for fabricating
US20040169269A1 (en) * 2003-02-27 2004-09-02 Yee-Chia Yeo Contacts to semiconductor fin devices

Family Cites Families (418)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR910010043B1 (ko) 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) * 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) * 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5278102A (en) * 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) * 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5521859A (en) 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
DE69213539T2 (de) 1991-04-26 1997-02-20 Canon Kk Halbleitervorrichtung mit verbessertem isoliertem Gate-Transistor
JPH05152293A (ja) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) * 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) * 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) * 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
JPH065856A (ja) * 1992-06-19 1994-01-14 Kawasaki Steel Corp 半導体装置
JP3196858B2 (ja) * 1992-08-04 2001-08-06 シャープ株式会社 半導体装置の製造方法
JPH06177089A (ja) 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
KR960002088B1 (ko) * 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US5475869A (en) 1993-05-28 1995-12-12 Nec Corporation Radio base station capable of distinguishing between interference due to collisions of outgoing call signals and an external interference noise
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
JP3460863B2 (ja) * 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
JPH07161984A (ja) * 1993-12-06 1995-06-23 Mitsubishi Electric Corp 半導体集積回路装置
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) * 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
US5728594A (en) * 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
GB2295488B (en) * 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
JPH08204191A (ja) 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
JPH0974205A (ja) * 1995-09-04 1997-03-18 Semiconductor Energy Lab Co Ltd 薄膜トランジスタ及びその作製方法
US5658806A (en) * 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) * 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) * 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) * 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW548686B (en) * 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6163053A (en) * 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
JPH10150185A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5827769A (en) * 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
US6676231B1 (en) * 1997-04-17 2004-01-13 Sligh Furniture Co. Modular furniture system
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JPH1140811A (ja) * 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6307235B1 (en) * 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US20010040907A1 (en) 1998-06-12 2001-11-15 Utpal Kumar Chakrabarti Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000037842A (ja) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd 電磁波吸収化粧材
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (ja) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
EP1063697B1 (de) * 1999-06-18 2003-03-12 Lucent Technologies Inc. Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (de) * 1999-10-06 2005-01-12 Lsi Logic Corporation Vollständig verarmter und invertierter CMOSFET mit vertikalem Kanal und dualem Gate
US6355532B1 (en) * 1999-10-06 2002-03-12 Lsi Logic Corporation Subtractive oxidation method of fabricating a short-length and vertically-oriented channel, dual-gate, CMOS FET
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) * 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (ja) * 2000-02-23 2006-11-15 信越半導体株式会社 ウエーハ外周面取部の研磨方法及び研磨装置
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) * 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
WO2002003482A1 (de) 2000-07-04 2002-01-10 Infineon Technologies Ag Feldeffekttransistor
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002047034A (ja) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) * 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US7115954B2 (en) 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) * 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
FR2822293B1 (fr) * 2001-03-13 2007-03-23 Nat Inst Of Advanced Ind Scien Transistor a effet de champ et double grille, circuit integre comportant ce transistor, et procede de fabrication de ce dernier
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002298051A (ja) 2001-03-30 2002-10-11 Mizuho Bank Ltd ポイント交換サービス・システム
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) * 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) * 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
AU785016B2 (en) * 2001-06-14 2006-08-24 Rohm And Haas Company Semi-continuous bimodal emulsion polymerization
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) * 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6764965B2 (en) * 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) * 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
JP2003229575A (ja) * 2002-02-04 2003-08-15 Hitachi Ltd 集積半導体装置及びその製造方法
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
FR2838238B1 (fr) * 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US6705571B2 (en) * 2002-07-22 2004-03-16 Northrop Grumman Corporation System and method for loading stores on an aircraft
KR100477543B1 (ko) * 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (de) * 2002-07-31 2016-11-23 Micron Technology, Inc. Verfahren zur Herstellung von integrierten Halbleiterschaltungsstrukturen
JP2004071996A (ja) * 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6984585B2 (en) * 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) * 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6611029B1 (en) * 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
KR100487922B1 (ko) 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6867425B2 (en) * 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
WO2004059726A1 (en) 2002-12-20 2004-07-15 International Business Machines Corporation Integrated antifuse structure for finfet and cmos devices
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
JP2004221334A (ja) * 2003-01-15 2004-08-05 Seiko Epson Corp 金属素子形成方法、半導体装置の製造方法及び電子デバイスの製造方法、半導体装置及び電子デバイス、並びに電子機器
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
US7304336B2 (en) * 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
JP4563652B2 (ja) * 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) * 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
WO2004097943A1 (ja) 2003-04-28 2004-11-11 Matsushita Electric Industrial Co., Ltd. 半導体装置とその製造方法
JP3976703B2 (ja) * 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
WO2004107452A1 (ja) * 2003-05-30 2004-12-09 Matsushita Electric Industrial Co., Ltd. 半導体装置およびその製造方法
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6960517B2 (en) * 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7013447B2 (en) * 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (de) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Halbleiterbauelement mit mehrfachem Gate und diesbezügliches Herstellungsverfahren
US6835618B1 (en) * 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
JPWO2005022637A1 (ja) * 2003-08-28 2007-11-01 日本電気株式会社 フィン型電界効果トランジスタを有する半導体装置
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7612416B2 (en) * 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
EP1683193A1 (de) 2003-10-22 2006-07-26 Spinnaker Semiconductor, Inc. Dynamisches schottky-barrieren-mosfet-bauelement und herstellungsverfahren
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
JP2005183770A (ja) * 2003-12-22 2005-07-07 Mitsubishi Electric Corp 高周波用半導体装置
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7569882B2 (en) * 2003-12-23 2009-08-04 Interuniversitair Microelektronica Centrum (Imec) Non-volatile multibit memory cell and method of manufacturing thereof
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (de) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Mehrfach-Steuerelektroden Transistor und dessen Herstellungsmethode
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
JPWO2005091374A1 (ja) * 2004-03-19 2008-02-07 日本電気株式会社 半導体装置及びその製造方法
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US20050224797A1 (en) * 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7915443B2 (en) * 2006-11-16 2011-03-29 Allergan, Inc. Sulfoximines as kinase inhibitors
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
WO2005122276A1 (ja) * 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
KR100541657B1 (ko) * 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) * 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7105934B2 (en) * 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7470951B2 (en) * 2005-01-31 2008-12-30 Freescale Semiconductor, Inc. Hybrid-FET and its application as SRAM
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20060180859A1 (en) * 2005-02-16 2006-08-17 Marko Radosavljevic Metal gate carbon nanotube transistor
DE102005008478B3 (de) * 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
JP4825526B2 (ja) * 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
JP4718908B2 (ja) * 2005-06-14 2011-07-06 株式会社東芝 半導体装置および半導体装置の製造方法
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) * 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
KR100718159B1 (ko) * 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7646046B2 (en) * 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
US7678632B2 (en) * 2006-11-17 2010-03-16 Infineon Technologies Ag MuGFET with increased thermal mass
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080212392A1 (en) * 2007-03-02 2008-09-04 Infineon Technologies Multiple port mugfet sram
JP4406439B2 (ja) * 2007-03-29 2010-01-27 株式会社東芝 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US20040108523A1 (en) * 2002-12-06 2004-06-10 Hao-Yu Chen Multiple-gate transistor structure and method for fabricating
US20040169269A1 (en) * 2003-02-27 2004-09-02 Yee-Chia Yeo Contacts to semiconductor fin devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
CHOI,Y.-K., LINDERT,N., XUAN,P., TANG,S.: Sub-20nm CMOS FinFET Technologies. In: IEDM 2001, Technical Digest, S. 421-424- ISBN: 0-7803-7050-3 *

Also Published As

Publication number Publication date
DE112006001735T5 (de) 2008-08-28
TWI314779B (en) 2009-09-11
KR101021369B1 (ko) 2011-03-14
GB0724762D0 (en) 2008-01-30
KR20080024168A (ko) 2008-03-17
TW200715528A (en) 2007-04-16
CN101208805B (zh) 2014-07-30
GB2442379B (en) 2011-03-09
US20070001219A1 (en) 2007-01-04
GB2442379A (en) 2008-04-02
US7279375B2 (en) 2007-10-09
US20080258207A1 (en) 2008-10-23
US7898041B2 (en) 2011-03-01
WO2007005697A3 (en) 2007-04-12
JP2008544558A (ja) 2008-12-04
WO2007005697A2 (en) 2007-01-11
CN101208805A (zh) 2008-06-25

Similar Documents

Publication Publication Date Title
DE112006001735B4 (de) Blockkontaktarchitekturen für Transistoren mit Kanälen in einer Nano-Größenordnung und Verfahren zum Ausbilden
DE102017012299B3 (de) Von dielektrischen finnen und abstandshaltern begrenzte epitaxlale strukturelemente und verfahren zu ihrer herstellung
DE102017119616B4 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE102006062862B4 (de) Verfahren zum Herstellen von Feldeffekttransistoren mit vertikal ausgerichteten Gate-Elektroden
DE102005038943B4 (de) Verfahren zum Herstellen eines Feldeffekttransistors (FET) mit Leitungskanälen
DE102019106654A1 (de) Integrierte Schaltkreise mit vergrabenen Verbindungsleitern
DE102012214077B4 (de) Verfahren zum Bilden einer integrierten Schaltung
DE102007020258B4 (de) Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
DE112004000578B4 (de) Verfahren zur Herstellung eines Gates in einem FinFET-Bauelement und Dünnen eines Stegs in einem Kanalgebiet des FinFET-Bauelements
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102013104197B3 (de) Gate Kontaktstruktur für FinFET und Verfahren zur Herstellung
DE102014113741B4 (de) Halbleitervorrichtung und verfahren zum herstellen einer halbleitervorrichtung mit lateralen fet-zellen und feldplatten
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102008025708A1 (de) Kontaktstruktur für FinFET-Bauelement
DE102010029527A1 (de) Selbstjustierender Transistor mit Mehrfachgate, der auf einem Vollsubstrat ausgebildet ist
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102017124637A1 (de) Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
DE102018125381A1 (de) Verfahren zum herstellen eines feldeffekttransistors unter verwendung von kohlenstoffnanoröhren und einfeldeffekttransistor
DE102014114485A1 (de) Halbleitervorrichtung und verfahren
DE10234392A1 (de) Halbleiterbauelement mit Gate-Elektrodenstruktur und Herstellungsverfahren hierfür
DE102020119458A1 (de) Niedrigdimensionale materialvorrichtung und verfahren
DE102019206113A1 (de) Feldeffekttransistoren mit multiplen Gatelängen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R020 Patent grant now final

Effective date: 20110218