DE112006001589T5 - Halbleiterbauelementstrukturen und Verfahren zur Bildung von Halbleiterstrukturen - Google Patents

Halbleiterbauelementstrukturen und Verfahren zur Bildung von Halbleiterstrukturen Download PDF

Info

Publication number
DE112006001589T5
DE112006001589T5 DE112006001589T DE112006001589T DE112006001589T5 DE 112006001589 T5 DE112006001589 T5 DE 112006001589T5 DE 112006001589 T DE112006001589 T DE 112006001589T DE 112006001589 T DE112006001589 T DE 112006001589T DE 112006001589 T5 DE112006001589 T5 DE 112006001589T5
Authority
DE
Germany
Prior art keywords
silicon film
plane
silicon
etch
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112006001589T
Other languages
English (en)
Other versions
DE112006001589B4 (de
Inventor
Justin Portland Brask
Jack Portland Kavalieros
Brain Portland Doyle
Uday Portland Shah
Suman Beaverton Datta
Amlan Portland Majumdar
Robert Beaverton Chau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112006001589T5 publication Critical patent/DE112006001589T5/de
Application granted granted Critical
Publication of DE112006001589B4 publication Critical patent/DE112006001589B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30617Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Abstract

Verfahren zur Musterbildung eines Halbleiterfilms, umfassend:
Bilden eines Hartmaskenmaterials auf einem Halbleiterfilm mit einer globalen Kristallorientierung, wobei der Halbleiterfilm eine erste Kristallebene und eine zweite Kristallebene hat, wobei die erste Kristallebene dichter als die zweite Kristallebene ist und wobei die Hartmaske auf der zweiten Kristallebene gebildet wird,
Bilden eines Musters einer mit einer Hartmaske abgedeckten Halbleiterstruktur aus dem Halbleiterfilm und dem Hartmaskenmaterial, und
Einwirkenlassen eines Naßätzprozesses, dessen chemische Wirksamkeit dazu ausreicht, die zweite Kristallebene zu ätzen, aber dessen chemische Wirksamkeit nicht dazu ausreicht, die erste Kristallebene zu ätzen, auf die mit der Hartmaske abgedeckte Halbleiterstruktur.

Description

  • AUSGANGSSITUATION DER ERFINDUNG
  • 1. GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft das Gebiet der Halbleiterverarbeitung und insbesondere Halbleiterstrukturen und ihre Fertigungsverfahren.
  • 2. ERÖRTERUNG DES STANDES DER TECHNIK
  • Um die Leistungsfähigkeit moderner integrierter Schaltkreise, zum Beispiel Mikroprozessoren, zu erhöhen, sind SOI(silicon an insulator)-Transistoren vorgeschlagen worden. Ein Vorteil von SOI-Transistoren besteht darin, daß sie vollständig verarmt betreibbar sind. Ein Vorteil von vollständig verarmten Transistoren besteht in ihren idealen, unterhalb des Schwellenwertes liegenden Gradienten für optimierte Strom-an/Strom-aus-Verhältnisse. Ein Beispiel für einen vorgeschlagenen SOI-Transistor, der vollständig verarmt betreibbar ist, ist ein Tri-Gate-Transistor 100, wie er in 1 dargestellt ist. Der Tri-Gate-Transistor 100 schließt einen Siliziumkörper 104 ein, der auf einem Isoliersubstrat 102 ausgebildet ist, das eine vergrabene Oxidschicht 103 hat, die auf einem monokristallinen Siliziumsubstrat 105 ausgebildet ist. Eine Gate-Dielektrikumschicht 106 ist oben auf dem Siliziumkörper 104 und auf dessen Seitenwänden ausgebildet (siehe 1). Eine Gate-Elektrode 108 ist auf der Gate-Dielektrikumschicht ausgebildet und umgibt den Körper 104 an drei Seiten, wodurch im wesentlichen ein Transistor 100 mit drei Gate-Elektroden (G1, G2, G3) – eine pro Seitenwand des Siliziumkörpers 104 und eine auf der Oberseite des Siliziumkörpers 104 – bereitgestellt ist. Eine Source-Zone 110 und eine Drain-Zone 112 sind in dem Siliziumkörper 104 auf gegenüberliegenden Seiten der Gate-Elektrode 108 ausgebildet (siehe 1). Die aktive Kanalzone ist die Zone des Siliziumkörpers, die unterhalb der Gate-Elektrode 108 und zwischen der Source-Zone 110 und der Drain-Zone 112 angeordnet ist. Ein Vorteil eines Tri-Gate-Transistors 100 besteht darin, daß er gute Kurzkanaleffekte (SCE) aufweist. Ein Grund dafür, daß Tri-Gate-Transistoren 100 gute Kurzkanaleffekte aufweisen, ist die Tatsache, daß die Nichtebenheit solcher Bauelemente die Gate-Elektrode 108 auf eine solche Weise plaziert, daß sie die aktive Kanalzone auf allen drei Seiten umgibt.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 veranschaulicht einen nichtebenen oder Tri-Gate-Transistor.
  • 2A bis 2E veranschaulichen ein Verfahren zur Bildung einer Halbleiterstruktur gemäß Ausführungsformen der vorliegenden Erfindung.
  • 2F veranschaulicht einen nichtebenen Transistor, der aus der Struktur von 2E gebildet ist.
  • 3A bis 3C veranschaulichen ein Verfahren zur Bildung einer Halbleiterstruktur gemäß Ausführungsformen der vorliegenden Erfindung.
  • 3D veranschaulicht einen nichtebenen Transistor, der eine Halbleiterstruktur von 3C verwendet.
  • 4A bis 4C veranschaulichen ein Verfahren zur Bildung einer Halbleiterstruktur gemäß Ausführungsformen der vorliegenden Erfindung.
  • 4D veranschaulicht einen nichtebenen Transistor, der die Halbleiterstruktur von 4C verwendet.
  • 5 veranschaulicht einen Abschnitt eines integrierten Schaltkreises, der einen n-leitenden Feldeffekttransistor und einen p-leitenden Feldeffekttransistor mit einer nichtparallelen Orientierung auf einem Substrat einschließt.
  • AUSFÜHRLICHE BESCHREIBUNG DER VORLIEGENDEN ERFINDUNG
  • Ausführungsformen der vorliegenden Erfindung beschreiben Halbleiterstrukturen und Verfahren zur Bildung von Halbleiterstrukturen. In der folgenden Beschreibung sind zahlreiche spezifische Einzelheiten dargelegt, um ein umfassendes Verständnis für die vorliegende Erfindung zu schaffen. In anderen Fällen sind wohlbekannte Halbleiterprozesse und Herstellungstechniken nicht besonders ausführlich beschrieben worden, um die vorliegende Erfindung nicht unnötigerweise unverständlich zu machen.
  • Die vorliegende Erfindung verwendet die Atomschichtsteuerung von einkristallinen Halbleiterstrukturen, um die Leistungsfähigkeit von Halbleiterbauelementen zu maximieren. In Ausführungsformen der vorliegenden Erfindung werden einkristalline Strukturen, die mit einer Hartmaske abgedeckt sind, anisotropischen Naßätzungen ausgesetzt. Die chemische Wirksamkeit der Naßätzung reicht dazu aus, die Aktivierungsenergiebarriere der chemischen Ätzreaktion zu überwinden, um weniger dichte Ebenen der Halbleiterstruktur zu ätzen, aber die chemische Wirksamkeit reicht nicht dazu aus, die Aktivierungsenergiebarriere der chemischen Ätzreaktion zu überwinden, wodurch Ebenen mit hoher Dichte nicht geätzt werden. Durch die Wahl der richtigen Kristallorientierung und durch die Bildung einer Hartmaske über den weniger dichten Ebenen der Struktur und durch die Verwendung einer chemischen Zusammensetzung für die Naßätzung, die die geeignete chemische Wirksamkeit aufweist, kann man Halbleiterstrukturen mit der gewünschten Facettierung, Kristallorientierung und Seitenwandebenheit bilden. In Ausführungsformen der vorliegenden Erfindung werden natürliche Facetten in epitaktischem Silizium ausgenutzt, um die Kantenrauhigkeit in dreidimensionalen Siliziumkanalstrukturen aufzuheben. In einer Ausführungsform der vorliegenden Erfindung werden natürliche Facetten ausgenutzt, um eine dreidimensionale Kanalstruktur zu bilden, die eine gute Gate-Steuerung der Kanalzone ermöglicht. In noch anderen Ausführungsformen der vorliegenden Erfindung werden Halbleiterkörper von PMOS- und NMOS-Transistoren mit einer spezifischen Anordnung auf einkristallinen Halbleitern gebildet, um die Kristallorientierung auszunutzen und eine erhöhte Beweglichkeit sowohl von Löchern als auch von Elektronen zu erreichen. Andere Aspekte der vorliegenden Erfindung werden aus der folgenden ausführlichen Beschreibung deutlich.
  • Ein Verfahren zur Bildung einer dreidimensionalen Halbleiterstruktur, das eine selbstlimitierende Ätzung und eine natürliche Facettierung verwendet, ist in den 2A bis 2F gemäß Ausführungsformen der vorliegenden Erfindung veranschaulicht. Die Fertigung einer Halbleiterstruktur beginnt mit einem Substrat 200. In einer Ausführungsform der vorliegenden Erfindung ist das Substrat 200 ein SOI-Substrat. Ein SOI-Substrat 200 schließt ein unteres monokristallines Siliziumsubstrat 202 ein. Eine Isolierschicht 204, zum Beispiel Siliziumdioxid oder Siliziumnitrid, wird auf dem monokristallinen Substrat 202 gebildet. Ein einkristalliner Siliziumfilm 206 wird oben auf der Isolierschicht 204 gebildet. Die Isolierschicht 204 wird manchmal als „vergrabene Oxidschicht" oder „vergrabene Isolierschicht" bezeichnet und in einer Dicke gebildet, die dazu ausreicht, den einkristallinen Siliziumfilm 206 von dem unteren monokristallinen Siliziumsubstrat 202 zu isolieren. In einer Ausführungsform der vorliegenden Erfindung ist die Isolierschicht eine vergrabene Oxidschicht, die in einer Dicke zwischen 200 und 2000 Å gebildet wird. In einer Ausführungsform der vorliegenden Erfindung ist der Siliziumfilm 206 ein eigenleitender (das heißt, undotierter) epitaktischer Siliziumfilm. In anderen Ausführungsformen wird der einkristalline Siliziumfilm 206 so dotiert, daß sich eine p- oder n-Leitfähigkeit mit einem Konzentrationsgrad zwischen 1 × 1016 und 1 × 1019 Atomen pro cm3 ergibt. Der Siliziumfilm 206 ist in situ dotierbar (das heißt, dotierbar, während er aufgebracht wird) oder dotierbar, nachdem er auf der Isolierschicht 204 gebildet worden ist, zum Beispiel durch Ionenimplantation. Durch das Dotieren des Siliziumfilms 206 nach seinem Aufbringen wird ermöglicht, daß sowohl n-leitende Bauelemente als auch p-leitende Bauelemente auf demselben Substrat gefertigt werden. In einer Ausführungsform der vorliegenden Erfindung wird der Siliziumfilm 206 in einer Dicke gebildet, die ungefähr der gewünschten Höhe der anschließend gebildeten Siliziumstruktur entspricht. In einer Ausführungsform der vorliegenden Erfindung hat der einkristalline Siliziumfilm 206 eine Dicke von weniger als 30 Nanometern und idealerweise von ca. 20 Nanometern oder weniger.
  • Ein SOI-Substrat 200 ist gemäß einem beliebigen wohlbekannten Verfahren bildbar. Gemäß einem Verfahren zur Bildung des SOI-Substrats, das als SIMOX-Technik bekannt ist, werden Sauerstoffatome in hoher Dosis in ein einkristallines Siliziumsubstrat implantiert und dann getempert, um das vergrabene Oxid 204 innerhalb des Substrats zu bilden. Der Abschnitt des einkristallinen Siliziumsubstrats oberhalb des vergrabenen Oxids wird der Siliziumfilm 206. Eine andere Technik, die gegenwärtig zur Bildung von SOI-Substraten verwendet wird, ist eine Epitaxiesiliziumfilm-Transfertechnik, die im allgemeinen als „bonded SOI" bezeichnet wird. Bei dieser Technik ist auf der Oberfläche eines ersten Siliziumwafers ein dünnes Oxid aufgewachsen, das später als das vergrabene Oxid 204 in der SOI-Struktur dient. Danach wird Wasserstoff in hoher Dosis in den ersten Siliziumwafer implantiert, um eine Zone mechanischer Spannung unterhalb der Siliziumoberfläche des ersten Wafers zu bilden. Der erste Wafer wird dann umgeklappt und mit der Oberfläche eines zweiten Siliziumwafers kontaktiert. Der erste Wafer wird dann entlang der durch das Wasserstoffimplantat geschaffenen Ebene hoher mechanischer Spannung gespaltet. Das Spalten führt zu einer SOI-Struktur mit einer dünnen Siliziumschicht ganz oben und dem vergrabenen Oxid darunter, wobei sich dies alles oben auf dem zweiten einkristallinen Siliziumwafer befindet. Wohlbekannte Glättungstechniken, zum Beispiel HCl-Glätten oder chemisch-mechanisches Polieren (CMP), sind dazu verwendbar, die Oberseite des Siliziumfilms 206 so zu glätten, daß diese die gewünschte Dicke erreicht.
  • Zwar wird die vorliegende Erfindung hinsichtlich Siliziumstrukturen, die auf SOI-Substraten gebildet werden, beschrieben, doch ist die vorliegende Erfindung auch auf standardmäßigen monokristallinen Siliziumwafern oder -substraten ausführbar, um ein „Bulk-Bauelement" zu bilden. Die Siliziumstrukturen sind direkt aus dem monokristallinen Siliziumwafer bildbar oder aus auf einem monokristallinen Siliziumsubstrat ausgebildeten epitaktischen Siliziumfilmen bildbar. Außerdem sind Ausführungsformen der vorliegenden Erfindung zwar hinsichtlich der Bildung von einkristallinen Siliziumstrukturen und hinsichtlich daraus gebildeten Bauelementen veranschaulicht, doch sind die erfindungsgemäßen Verfahren und Strukturen ebenso auf andere Halbleitertypen anwendbar, zum Beispiel, ohne darauf beschränkt zu sein, Germanium (Ge), eine Legierung aus Silizium und Germanium (SixGey), Galliumarsenid (GaAs), Indiumantimonid (InSb), Galliumphosphid (GaP) und Galliumantimonid (GaSb). Entsprechend schließen Ausführungsformen der vorliegenden Erfindung Halbleiterstrukturen und Verfahren zur Bildung von Halbleiterstrukturen, die Halbleiter verwenden, ein, zum Beispiel, ohne darauf beschränkt zu sein, Germanium (Ge), eine Legierung aus Silizium und Germanium (SixGey), Galliumarsenid (GaAs), Indiumantimonid (InSb), Galliumphosphid (GaP) und Galliumantimonid (GaSb).
  • In 2A hat der einkristalline Siliziumfilm 206 eine globale Kristallorientierung (100), definiert durch die xy-Ebene. Ein Siliziumfilm mit einer globalen Kristallorientierung (100) hat eine Ebene <100>, die mit der Oberfläche des Films planar ist. Das heißt, daß, wie in 2A veranschaulicht ist, ein einkristalliner Siliziumfilm mit einer globalen Kristallorientierung (100) eine Ebene <100> hat, die in der xy-Ebene mit einer Normalachse in der z-Richtung liegt.
  • In der folgenden Beschreibung werden runde Klammern () dazu verwendet, die globale Kristallorientierung des Films zu veranschaulichen, definiert durch die xy-Ebene und entlang der z-Richtung, während spitze Klammern <> dazu verwendet werden, spezifische lokale Ebenen innerhalb des global definierten kristallinen Films zu beschreiben.
  • Außerdem hat ein einkristallines Silizium mit einer Kristallorientierung (100), wie in 2A veranschaulicht ist, ein Paar Ebenen <110>, die senkrecht zueinander liegen. Das heißt, daß das einkristalline Silizium (100) eine Ebene <110> hat, die in der zx-Ebene mit einer sich in der y-Richtung erstreckenden Normalachse liegt, und eine Ebene <110> hat, die in der zy-Ebene mit einer Normalachse in der x-Richtung liegt. In einer Ausführungsform der vorliegenden Erfindung wird der Siliziumfilm 206 mit einer globalen Kristallorientierung (100) geätzt, um eine Siliziumstruktur zu bilden, die ein Paar seitlich gegenüberliegende Seitenwände, die aus der Ebene <110> gebildet sind, und ein senkrecht dazu liegendes zweites Paar seitlich gegenüberliegende Seitenwände, die in der Ebene <110> liegen, hat.
  • Um den Siliziumfilm 206 zu einem Siliziumkörper zu ätzen, ist ein Hartmaskenmaterial 208 auf der Oberseite 219 des Siliziumfilms 206 bildbar. Das Hartmaskenmaterial 208 ist ein Material, das eine Hartmaske zum Ätzen des Siliziumfilms 206 bereitstellen kann. Das Hartmaskenmaterial 208 ist ein Material, das während des Ätzens des Siliziumfilms 206 sein Profil beibehalten kann. Das Hartmaskenmaterial 208 ist ein Material, das während des Ätzens des Siliziumfilms 206 nicht ätzt oder nur anätzt. In einer Ausführungsform der vorliegenden Erfindung wird das Hartmaskenmaterial aus einem solchen Material gebildet, daß das zum Ätzen des Siliziumfilms 206 verwendete Ätzmittel den Siliziumfilm 206 mindestens fünfmal schneller und idealerweise mindestens zehnmal schneller als das Hartmaskenmaterial ätzt. Das heißt, daß in einer Ausführungsform der vorliegenden Erfindung der Siliziumfilm und die Hartmaske so ausgewählt werden, daß eine Ätzselektivität von mindestens 5:1 und idealerweise von mindestens 10:1 bereitgestellt wird. In einer Ausführungsform der vorliegenden Erfindung wird das Hartmaskenmaterial 208 aus Siliziumnitrid oder Siliziumoxynitrid gebildet. In einer Ausführungsform der vorliegenden Erfindung wird das Hartmaskenmaterial 208 aus einem Siliziumnitridfilm mit einem Kohlenstoffanteil zwischen 0 und 5% gebildet, gebildet durch einen Prozeß der chemischen Gasphasenabscheidung unter Niederdruck (Low Pressure chemical vapor deposition (LPCVD)). Das Hartmaskenmaterial 208 wird in einer Dicke gebildet, die dazu ausreicht, während des gesamten Ätzens des Siliziumfilms 206 sein Profil beizubehalten, aber nicht zu groß ist, um keine Schwierigkeiten bei der Musterbildung zu verursachen. In einer Ausführungsform der vorliegenden Erfindung wird das Hartmaskenmaterial 208 in einer Dicke zwischen 3 Nanometern und 50 Nanometern und idealerweise in einer Dicke von ca. 10 Nanometern gebildet.
  • Danach wird, wie auch 2B zeigt, eine Photoresistmaske 210 auf dem Hartmaskenmaterial 208 gebildet. Die Photoresistmaske 210 enthält das Merkmalsmuster, das in den Siliziumfilm 206 zu übertragen ist. Die Photoresistmaske 210 ist gemäß einer beliebigen wohlbekannten Technik bildbar, zum Beispiel durch Blanket-Aufbringen von Photoresistmaterial und anschließendes Maskieren, Belichten und Entwickeln des Photoresistmaterials, so daß eine Photoresistmaske 210 mit dem gewünschten Muster für einen Siliziumfilm 206 entsteht. Die Photoresistmaske 210 wird typischerweise aus einer organischen Verbindung gebildet. Die Photoresistmaske 210 wird in einer Dicke gebildet, die dazu ausreicht, während der Musterbildung des Hartmaskenfilms 208 ihr Profil beizubehalten, wird aber nicht zu dick ausgebildet, um zu verhindern, daß bei ihrer lithographischen Musterbildung die kleinsten Dimensionen (das heißt, die kritischen Dimensionen) erreicht werden, die mit dem verwendeten Photolithographiesystem und -prozeß möglich sind. In einer Ausführungsform der vorliegenden Erfindung wird die Photoresistmaske 210 so auf dem einkristallinen Siliziumfilm 206 orientiert, daß eine Photoresistmaske mit einem Paar seitlich gegenüberliegender Seitenwände, die auf eine Kristallebene <110> ausgerichtet sind, und einem senkrecht zu dem ersten Paar liegenden zweiten Paar seitlich gegenüberliegender Seitenwände, die auf die Ebene <110> ausgerichtet sind, definiert wird.
  • Danach wird, wie 2C zeigt, das Hartmaskenmaterial 208 so geätzt, daß es auf die Photoresistmaske 210 ausgerichtet wird, um eine Hartmaske 212 zu bilden, die in 2C gezeigt wird. Die Photoresistmaske 210 verhindert, daß der darunterliegende Abschnitt des Hartmaskenmaterials 208 geätzt wird. In einer Ausführungsform der vorliegenden Erfindung wird das Hartmaskenmaterial 208 mit einem Ätzmittel geätzt, das das Hartmaskenmaterial ätzen kann, aber den darunterliegenden Siliziumfilm 206 nicht ätzt. In einer Ausführungsform der vorliegenden Erfindung wird das Hartmaskenmaterial mit einem Ätzmittel geätzt, das eine nahezu perfekte Selektivität hinsichtlich des darunterliegenden Siliziumfilms 206 aufweist.
  • Das heißt, daß in einer Ausführungsform der vorliegenden Erfindung das Hartmaskenätzmittel das Hartmaskenmaterial 208 mindestens zwanzigmal schneller als den darunterliegenden Siliziumfilm 206 ätzt (das heißt, daß das Ätzmittel eine Hartmaske-zu-Siliziumfilm-Selektivität von mindestens 20:1 aufweist). Wenn das Hartmaskenmaterial 208 ein Siliziumnitrid- oder Siliziumoxynitridfilm ist, ist das Hartmaskenmaterial 208 unter Verwendung eines Trockenätzprozesses, zum Beispiel eines RIE (Reactive Ion Etching)-Prozesses, zu einer Hartmaske 212 ätzbar. In einer Ausfürungsform der vorliegenden Erfindung wird eine Siliziumnitrid- oder Siliziumoxynitridhartmaske in einem RIE-Prozeß unter Verwendung einer chemischen Zusammensetzung, die CHF3 und O2 und Ar umfaßt, geätzt.
  • Danach kann, wie auch 2C zeigt, die Photoresistmaske 210 gemäß wohlbekannten Techniken entfernt werden, nachdem aus dem Hartmaskenfilm 208 das Muster einer Hartmaske 212 gebildet worden ist. So kann die Photoresistmaske 210 zum Beispiel unter Verwendung der „Piranha"-Reinigungslösung, die Schwefelsäure und Wasserstoffperoxid einschließt, entfernt werden. Außerdem kann der Rückstand der Photoresistmaske 210 mittels O2-Schwabbeln (ashing) entfernt werden.
  • Auch wenn es nicht erforderlich ist, so ist es doch wünschenswert, die Photoresistmaske 210 vor der Musterbildung des Siliziumfilms 206 zu entfernen, so daß sich kein Polymerfilm, der von dem Photoresist herrührt, auf den Seitenwänden des durch Musterbildung entstandenen Siliziumfilms 206 bildet. Wenn zum Beispiel der Siliziumfilm 206 als Halbleiterkörper oder -fin in einem nichtebenen Bauelement verwendet wird, ist es wünschenswert, zuerst die Photoresistmaske vor dem Ätzen des Siliziumfilms zu entfernen, weil Trockenätzprozesse die Photoresistmaske erodieren und die Entwicklung von Polymerfilmen auf den Seitenwänden des Siliziumkörpers, deren Entfernen schwierig sein kann und die die Leistungsfähigkeit des Bauelements nachteilig beeinflussen können, verursachen können.
  • Danach wird, wie 2D zeigt, der Siliziumfilm 206 so geätzt, daß er auf die Hartmaske 212 ausgerichtet wird, um einen gemusterten Siliziumfilm 214 zu bilden, der ein erstes Paar seitlich gegenüberliegende Seitenwände 218, die auf die Kristallebene <110> ausgerichtet sind, und ein zweites Paar seitlich gegenüberliegende Seitenwände 220, die auf die Kristallebene <110> ausgerichtet sind, hat. Die Hartmaske 212 verhindert, daß der darunterliegende Abschnitt des Siliziumfilms 206 während des Ätzprozesses geätzt wird. In einer Ausführungsform der vorliegenden Erfindung wird das Ätzen so lange fortgesetzt, bis die darunterliegende vergrabene Oxidschicht 204 erreicht ist. Der Siliziumfilm 206 wird mit einem Ätzmittel geätzt, das den Siliziumfilm 206 ätzt, ohne die Hartmaske 212 merklich zu ätzen. In einer Ausführungsform der vorliegenden Erfindung wird der Siliziumfilm 206 mit einem Ätzmittel geätzt, welches ermöglicht, daß der Siliziumfilm 206 mindestens fünfmal und idealerweise zehnmal schneller als die Hartmaske 212 geätzt wird (das heißt, daß das Ätzmittel eine Ätzselektivität (Siliziumfilm 206 zu Hartmaske 212) von mindestens 5:1 und idealerweise mindestens 10:1 aufweist). Der Siliziumfilm 206 ist unter Verwendung eines beliebigen geeigneten Prozesses ätzbar. In einer Ausführungsform der vorliegenden Erfindung wird der Siliziumfilm 206 anisotropisch geätzt, so daß der Siliziumkörper 214 fast senkrechte Seitenwände 218 hat, die auf die Seitenwände der Hartmaske 212 ausgerichtet sind. Wenn die Hartmaske 212 ein Siliziumnitrid- oder Siliziumoxynitridfilm ist, ist der Siliziumfilm 206 unter Verwendung eines Trockenätzprozesses, zum Beispiel eines RIE-Prozesses oder eines Plasmaätzprozesses, mit einer chemischen Zusammensetzung, die Cl2 und HBr umfaßt, ätzbar.
  • Nach dem Ätzen des Siliziumfilms 206 zur Bildung des/der Siliziumkörpers oder -struktur 214 haben die Seitenwände 218 typischerweise eine Kantenrauhigkeit 222 von ca. 2 bis 4 Nanometern. Wenn ein/e Siliziumkörper oder -struktur mit einer Breite zwischen den Seitenwänden 218 von lediglich 20 bis 30 Nanometern gebildet wird, ist eine solche Rauhtiefe unannehmbar groß und kann die Leistungsfähigkeit des Bauelements nachteilig beeinflussen.
  • Entsprechend wird in einer Ausführungsform der vorliegenden Erfindung die Siliziumstruktur 214 einer Naßätzung oder einer „Facettierungsätzung" ausgesetzt, während sich die Hartmaske 212 auf der Struktur 214 befindet, um die Kantenrauhigkeit zu beseitigen und/oder die Form der Struktur passend zu machen, um die Leistungsfähigkeit des Bauelements zu steigern. In einer Ausführungsform der vorliegenden Erfindung wird die mit der Hartmaske 212 abgedeckte Siliziumstruktur 214 einer anisotropischen Naßätzung ausgesetzt. Die chemische Wirksamkeit des Naßätzmittels reicht dazu aus, die Aktivierungsenergiebarriere der chemischen Ätzreaktion zu überwinden, um weniger dichte Ebenen der Halbleiterstruktur zu ätzen, aber die chemische Wirksamkeit reicht nicht dazu aus, die Aktivierungsenergiebarriere der chemischen Ätzreaktion zu überwinden, wodurch Ebenen mit hoher Dichte nicht geätzt werden.
  • In einer Ausführungsform der vorliegenden Erfindung werden eine chemische Zusammensetzung und ein Prozeß für eine Naßätzung verwendet, die die weniger dichten Ebenen <100> und <110> ätzen können, aber die Ebenen mit höherer Dichte <111> nicht ätzen können. Da die Hartmaske 212 die weniger dichte Ebene <100> auf der Oberseite der Siliziumstruktur 214 abdeckt, ist die weniger dichte Ebene vor einer Ätzung geschützt. Da die weniger dichte Ebene <100> auf der Oberseite geschützt ist und da die chemische Wirksamkeit der Ätzung nicht dazu ausreicht, die Ebene <111> zu ätzen, kommt die Naßätzung auf der ersten völlig intakten oder angrenzenden Ebene <111> zum Stehen (siehe 2E). Auf diese Weise ist die „Facettierungs-" oder Naßätzung selbstlimitierend. Somit bleiben auf die Selbstlimitierung der Naßätzung hin nur Ebenen <111> und ätzresistente Filme, die zum Schutz der weniger dichten Ebenen <110> und <100> verwendet werden, freiliegend. Man kann sagen, daß die erfindungsgemäße Facettierungsätzung eine anisotropische Ätzung ist, weil sie in einer Richtung mit einer Geschwindigkeit und in anderen Richtungen mit einer zweiten, geringeren Geschwindigkeit oder überhaupt nicht ätzt. Da der Ätzprozeß die Ebenen <100> und <110>, aber nicht die Ebenen <111> ätzt, bildet die Facettierungs- oder Naßätzung eine Siliziumstruktur 230 mit Seitenwänden 232, die durch die Ebene <111> definiert sind (siehe 2E). Die anisotropische Naßätzung beseitigt die Oberflächenrauhigkeit 222 von den Seitenwänden 218 (siehe 2D) und erzeugt optisch glatte Seitenwände 232 (siehe 2E). Außerdem sind die Seitenwände 218, nachdem die Struktur 214 lange genug der Facettierungsätzung ausgesetzt worden ist, durch die Ebene <111> definiert und erzeugen eine Struktur 230 mit einer V-Form oder nach innen verjüngten Seitenwänden 232. Die Seitenwände 232 verlaufen in einem Winkel alpha von 62,5 Grad von der Oberseite 219 der Struktur 230 nach innen. In einer Ausführungsform der vorliegenden Erfindung hat die Oberseite 219 der Struktur 230 eine Breite (W1) zwischen seitlich gegenüberliegenden Seitenwänden 232, die zwischen 20 und 30 nm beträgt, und die Unterseite hat eine Breite (W2) zwischen seitlich gegenüberliegenden Seitenwänden, die zwischen 10 und 15 nm beträgt.
  • In einer Ausführungsform der vorliegenden Erfindung ist die Naßätzung oder „Facettierungsätzung" eine Ätzung auf Hydroxidbasis mit einer ausreichend geringen Hydroxidkonzentration und Nukleophilie (das heißt, chemische Wirksamkeit), so daß die völlig intakten Ebenen <111> nicht geätzt werden. In einer Ausführungsform der vorliegenden Erfindung wird die Struktur 214 einer Facettierungs- oder Naßätzung ausgesetzt, die weniger als 1 Volumenprozent Ammoniumhydroxid (NH4OH) umfaßt. In einer Ausführungsform der vorliegenden Erfindung wird die Struktur 214 einem Naßätzmittel, das zwischen 0,2 und 1 Volumenprozent NH4OH umfaßt, in einem Temperaturbereich zwischen 5 und 25 °C ausgesetzt. In einer Ausführungsform der vorliegenden Erfindung wird Schallenergie in einem Frequenzbereich zwischen 600 und 800 Kilohertz, die zwischen 0,5 und 3 Watt/cm2 abgibt, während der Facettierungsätzung in die Ätzlösung geleitet. In einer Ausführungsform der vorliegenden Erfindung wird die mit der Hartmaske abgedeckte Siliziumstruktur über einen Zeitraum, der zwischen 15 Sekunden und 5 Minuten lang ist, der Facettierungsätzung ausgesetzt.
  • In einer anderen Ausführungsform der vorliegenden Erfindung kann die Facettierungs- oder Naßätzung sehr stark verdünnte (< 0,1 Volumenprozent) wässerige Lösungen von Tetraalkylammoniumhydroxiden (zum Beispiel Tetraethylammoniumhydroxid und Tetramethylammoniumhydroxid bei einer Temperatur zwischen 5 und 20 °C) umfassen.
  • Die gefertigte Siliziumstruktur 230 ist zur Fertigung von Halbleiterbauelementen, zum Beispiel Transistoren und Kondensatoren sowie mikroelektrische mechanische Systeme (MEMS) und optoelektronische Bauelemente, verwendbar. In einer Ausführungsform der vorliegenden Erfindung wird die Halbleiterstruktur 230 als Halbleiterkörper oder -fin für einen nichtebenen oder dreidimensionalen Transistor verwendet, zum Beispiel, ohne darauf beschränkt zu sein, für einen Tri-Gate-Transistor, einen Dual-Gate-Transistor, einen FinFET, einen Omega-FET oder einen Pi-FET.
  • In einer Ausführungsform der vorliegenden Erfindung stellt die Siliziumstruktur 230 einen Siliziumkörper oder -fin für einen Tri-Gate-Transistor 240 bereit (siehe 2F). Um einen Tri-Gate-Transistor 240, wie er in 2F veranschaulicht ist, zu fertigen, wird die Hartmaske 212 von der Siliziumstruktur 230 entfernt. In einer Ausführungsform der vorliegenden Erfindung kann, wenn die Hartmaske 212 ein Siliziumnitrid- oder Siliziumoxynitridfilm ist, eine Naßätzung, umfassend Phosphorsäure in vollentsalztem Wasser, zum Entfernen der Hartmaske verwendet werden. In einer Ausführungsform der vorliegenden Erfindung umfaßt das Hartmaskenätzmittel eine wässerige Lösung mit zwischen 80 und 90 Volumenprozent Phosphorsäure, die auf eine Temperatur zwischen 150 und 170 °C, idealerweise auf 160 °C, erhitzt wird. In einer Ausführungsform der vorliegenden Erfindung ist das Substrat unter Verwendung von Standardreinigern SC1 und SC2 säuberbar, nachdem die Hartmaske 212 entfernt worden ist. Es ist wünschenswert, das Substrat zu reinigen, nachdem die Hartmaske mit Phosphorsäure entfernt worden ist, weil Phosphorsäure typischerweise viele metallische Verunreinigungen einschließt, die die Leistungsfähigkeit oder Zuverlässigkeit des Bauelements beeinträchtigen können. Es versteht sich, daß die Hartmaske 212, falls man einen FinFET oder ein Dual-Gate-Bauelement bilden möchte, auf der Siliziumstruktur 230 verbleiben kann, um die Oberseite der Halbleiterstruktur 230 gegen die Steuerung durch eine anschließend gebildete Gate-Elektrode zu isolieren.
  • Danach wird eine Gate-Dielektrikumschicht 250 auf den Seitenwänden 232 sowie auf der Oberseite des Halbleiterkörpers 230 gebildet. Die Gate-Dielektrikumschicht 250 kann eine beliebige wohlbekannte und geeignete Gate-Dielektrikumschicht sein, zum Beispiel, ohne darauf beschränkt zu sein, eine Gate-Dielektrikumschicht aus Siliziumdioxid oder Siliziumnitrid. Außerdem kann die Gate-Dielektrikumschicht 250 eine High-k-Gate-Dielektrikumschicht sein, zum Beispiel, ohne darauf beschränkt zu sein, Hafniumoxid, Zirkoniumoxid, Titanoxid und Tantaloxid. Eine beliebige wohlbekannte Technik, zum Beispiel, ohne darauf beschränkt zu sein, die Gasphasenabscheidung und die Atomlagenabscheidung, können zur Bildung der Gate-Dielektrikumschicht 250 verwendet werden.
  • Danach wird eine Gate-Elektrode 260 auf der Gate-Dielektrikumschicht 250 auf der Oberseite und auf den Seitenwänden der Halbleiterstruktur 230 gebildet (siehe 2F). Die Gate-Elektrode 260 wird senkrecht zu den Seitenwänden 232 gebildet. Die Gate-Elektrode ist aus einem beliebigen wohlbekannten Gate-Elektroden-Material bildbar, zum Beispiel, ohne darauf beschränkt zu sein, aus dotiertem polykristallinen Silizium sowie aus Metallfilmen, zum Beispiel, ohne darauf beschränkt zu sein, Wolfram, Tantal, Titan und ihre Nitride. Außerdem versteht sich, daß eine Gate-Elektrode nicht notwendigerweise aus einem einzigen Material gebildet ist, sondern ein zusammengesetzter Stapel aus Dünnfilmen sein kann, zum Beispiel, ohne darauf beschränkt zu sein, ein unterer Metallfilm, ausgebildet auf der Gate-Dielektrikumschicht, mit einem oberen polykristallinen Siliziumfilm. Die Gate-Dielektrikumschicht und die Gate-Elektrode können durch Blanket-Aufbringen oder Aufwachsen der Gate-Dielektrikumschicht über den Halbleiterkörper und anschließendes Blanket-Aufbringen eines Gate-Elektroden-Materials über die Gate-Dielektrikumschicht gebildet werden. Die Musterbildung der Gate-Dielektrikumschicht und des Gate-Elektroden-Materials kann dann gemäß wohlbekannten Photolithographie- und Ätztechniken erfolgen, um die Gate-Elektrode 260 und die Gate-Dielektrikumschicht 250 zu bilden (siehe 2F). Als Alternative dazu können die Gate-Dielektrikumschicht und die Gate-Elektrode unter Verwendung eines wohlbekannten Replacement-Gate-Prozesses gebildet werden. Eine Source-Zone 272 und eine Drain-Zone 274 werden in dem Siliziumkörper 230 auf gegenüberliegenden Seiten der Gate-Elektrode 260 gebildet (siehe 2F). Jede beliebige wohlbekannte und geeignete Technik, zum Beispiel die Feststoffquellendiffusion oder die Ionenimplantation, kann zur Bildung der Source- und der Drain-Zone verwendet werden. In einer Ausführungsform der vorliegenden Erfindung werden die Source-Zone 272 und die Drain-Zone 274 so gebildet, daß sich eine Konzentration zwischen 1 × 1019 und 1 × 1021 Atomen pro cm3 ergibt.
  • Der gefertigte nichtebene Transistor 240 schließt einen Halbleiterkörper 230 ein, der von der Gate-Dielektrikumschicht 250 und der Gate-Elektrode 260 umgeben ist, (siehe 2F). Der Abschnitt des Halbleiterkörpers 230, der sich unterhalb der Gate-Dielektrikumschicht und der Gate-Elektrode befindet, ist die Kanalzone des Bauelements. In einer Ausführungsform der vorliegenden Erfindung werden die Source- und die Drain-Zone so dotiert, daß sich ein erster Leitfähigkeitstyp (n-leitend oder p-leitend) ergibt, während die Kanalzone so dotiert wird, daß sich ein zweiter, entgegengesetzter Leitfähigkeitstyp (p-leitend oder n-leitend) ergibt, oder gar nicht dotiert wird. Wenn ein leitender Kanal durch die Gate-Elektrode 260 in der Kanalzone des Siliziumkörpers 230 gebildet wird, fließen Ladungen (das heißt, Löcher oder Elektronen) zwischen der Source- und der Drain-Zone entlang der Ebene <110> in dem Siliziumkörper 230. Das heißt, daß in dem Transistor 240 die Ladungswanderung entlang der Kristallebene <110> in der Struktur 240 erfolgt. Es hat sich herausgestellt, daß die Ladungsmigration in der Richtung <110> eine gute Beweglichkeit der Löcher bereitstellt. Entsprechend ist das Bauelement 240 in einer Ausführungsform der vorliegenden Erfindung ein p-leitendes Bauelement, bei dem die Source- und die Drain-Zone so ausgebildet sind, daß sich eine p-Leitfähigkeit ergibt, und bei dem die Ladungsträger Löcher sind. Außerdem kann die Gate-Elektrode 260, indem die Seitenwände des Siliziumkörpers 230 nach innen verjüngt werden, die Kanalzone des Körpers 230 gut steuern, wodurch cm schnelles Ein- und Ausschalten des Transistors 240 ermöglicht wird.
  • Die 3A bis 3D veranschaulichen cm Verfahren zur Bildung eines/einer monokristallinen Siliziumkörpers oder -struktur gemäß einer anderen Ausführungsform der vorliegenden Erfindung. Wie 3A zeigt, wird eine Hartmaske 312 auf einem einkristallinen Siliziumfilm 306 mit einer globalen Kristallorientierung (100) gebildet. Die Hartmaske 312 ist auf die oben beschriebene Weise bildbar. In 3A wird die Hartmaske 312 jedoch so auf dem Siliziumfilm 306 orientiert, daß ein Paar Seitenwände, die auf die Ebene <100> ausgerichtet sind, und ein zweites Paar Seitenwände, die ebenfalls auf die Ebene <100> ausgerichtet sind, gebildet werden. (Es versteht sich, daß die Orientierung der Hartmaske 312 relativ zu der Orientierung der Hartmaske 212 in 2A um ca. 45° in der xy-Ebene gedreht ist.)
  • Danach wird, wie 3B zeigt, der Siliziumfilm 306 mit der globalen Kristallorientierung (100) so geätzt, daß er auf die Hartmaske 312 ausgerichtet wird, um eine Siliziumstruktur 314 zu bilden, die ein Paar seitlich gegenüberliegende Seitenwände 318, die auf die Ebene <100> ausgerichtet sind, und ein zweites Paar Seitenwände 320, die senkrecht zu dem ersten Paar liegen und ebenfalls auf die Ebene <100> ausgerichtet sind, hat. Der Siliziumfilm 306 ist auf die oben beschriebene Weise ätzbar.
  • Danach wird die Siliziumstruktur 314 einer Facettierungsnaßätzung ausgesetzt, während sich die Hartmaske 312 auf der Oberseite 319 der Siliziumstruktur 314 befindet. Die chemische Wirksamkeit der Facettierungsnaßätzung reicht dazu aus, die weniger dichten Ebenen <110> und <100> zu ätzen, aber die Wirksamkeit reicht nicht dazu aus, die Ebene mit hoher Dichte <111> zu ätzen. Da die weniger dichte Ebene <100> auf der Oberseite 319 der Siliziumstruktur 314 mit der Hartmaske 312 abgedeckt ist und da die chemische Wirksamkeit der Ätzung nicht dazu ausreicht, die Ebene <111> zu ätzen, wird die Siliziumstruktur 314 in eine Siliziumstruktur 330 umgewandelt, die ein Paar Seitenwände 332 hat, das eine Spitzkerbenform aufweist, die durch die sich schneidenden Ebenen <111> gebildet wird (siehe 3C). Die Facettierungsätzung ist, genau wie oben, selbstlimitierend und kommt an den ersten angrenzenden Ebenen <111> zum Stehen. Die Ebenen <111> der Seitenwände 332 treffen in einem Winkel β von ca. 55° aufeinander. Eine Kombination von Kristallorientierung, Atomabschirmung und gut gesteuerter anisotropischer Naßätzung ermöglicht die Bildung der Siliziumstruktur 330 mit spitzkerbenförmigen Seitenwänden 332.
  • Wie oben erörtert, ist die Siliziumstruktur 330 dazu verwendbar, nichtebene oder dreidimensionale Siliziumbauelemente sowie Mikromaschinen und MEMS-Bauelemente zu schaffen. In einer Ausführungsform der vorliegenden Erfindung wird die Siliziumstruktur 330 dazu verwendet, einen nichtebenen Transistor, zum Beispiel einen in 3D veranschaulichten Tri-Gate-Transistor 330, zu bilden. Eine Gate-Elektrode 360 wird senkrecht zu den Seitenwänden 332 gebildet (siehe 3D). Das nichtebene Bauelement hat eine Gate-Dielektrikumschicht 350 und eine Gate-Elektrode 360, die über einem Abschnitt des Siliziumkörpers 330 und um diesen Abschnitt herum ausgebildet sind (siehe 3D). Eine Source-Zone 372 und eine Drain-Zone 374 werden in dem Siliziumkörper 330 auf gegenüberliegenden Seiten der Gate-Elektrode gebildet. Die Ladungswanderung von der Source- zu der Drain-Zone in dem Transistor 340 erfolgt parallel zu der oder ausgerichtet auf die Ebene <100>. Da die Ladungswanderung entlang der Ebene <100> erfolgt, stellt die Siliziumstruktur 330 eine gute Elektronenbeweglichkeit bereit und läßt sich daher ideal bei der Fertigung eines n-leitenden Feldeffekttransistors (NFET) verwenden, bei dem die Ladungsträger Elektronen sind und die Source-Zone 372 und die Drain-Zone 374 n-leitend sind.
  • Die 4A bis 4D veranschaulichen ein Verfahren zur Bildung eines/einer Halbleiterkörpers oder -struktur gemäß einer anderen Ausführungsform der vorliegenden Erfindung. Wie 4A zeigt, wird ein Substrat 400, zum Beispiel ein SOI-Substrat, das ein unteres monokristallines Siliziumsubstrat 402, eine vergrabene Oxidschicht 404 und einen einkristallinen Siliziumfilm 406 einschließt, bereitgestellt. Zwar wird idealerweise ein SOI-Substrat 400 verwendet, doch sind, wie oben dargelegt, auch andere wohlbekannte Halbleitersubstrate verwendbar. In einer Ausführungsform der vorliegenden Erfindung hat der einkristalline Siliziumfilm 406 eine globale Kristallorientierung (110) (siehe 4A). Ein einkristalliner Siliziumfilm mit einer globalen Kristallorientierung (110) hat eine Ebene <110> des Siliziumgitters, die Planar mit der Oberfläche des Films ist oder parallel zu dieser verläuft. Das heißt, daß, wie in 4A veranschaulicht ist, ein einkristalliner Siliziumfilm mit einer globalen Kristallorientierung (110) eine Ebene <110> in der xy-Ebene mit einer Normalachse in der z-Richtung hat. Außerdem hat ein einkristalliner Siliziumfilm mit einer globalen Kristallorientierung (110) Ebenen <111> und Ebenen <110>, die orthogonal zueinander und orthogonal zu einer Ebene <110> liegen. Das heißt, daß in einem einkristallinen Siliziumfilm 406 mit einer globalen Kristallorientierung (110) Ebenen <111> vorhanden sind, die in der xz-Ebene mit einer Normalachse in der y-Richtung liegen, und Ebenen <110> vorhanden sind, die in der zy-Ebene liegen und eine Normalachse in der x-Richtung haben (siehe 4A). Danach wird, wie oben beschrieben, eine Hartmaske 412 (siehe 4A) auf dem einkristallinen Siliziumfilm 406 mit einer Kristallorientierung (110) gebildet. Die Hartmaske 412 wird so auf dem Siliziumfilm 406 orientiert, daß ein Paar Seitenwände, die auf die Ebene <110> ausgerichtet sind, und ein zweites Paar senkrechte Seitenwände, die auf die Ebene <111> ausgerichtet sind, gebildet werden. Die Hartmaske 412 ist aus bzw. gemäß oben beschriebenen Materialien und Verfahren bildbar.
  • Danach wird, wie 4B zeigt, der Siliziumfilm (110) so geätzt, daß er auf die Hartmaske 412 ausgerichtet wird, um eine Siliziumstruktur 414 zu bilden, die ein Paar seitlich gegenüberliegende Seitenwände 418, die parallel zu der Ebene <110> verlaufen oder auf diese ausgerichtet sind, und ein zweites Paar Seitenwände 420, die senkrecht zu dem ersten Paar 418 verlaufen und parallel zu einer Ebene <111> verlaufen oder auf diese ausgerichtet sind, hat. Die mit der Hartmaske 412 abgedeckte Siliziumstruktur 414 wird dann einer Facettierungsnaßätzung ausgesetzt. Die chemische Wirksamkeit der Facettierungsnaßätzung reicht dazu aus, die weniger dichte Ebene <110> zu ätzen, aber die chemische Wirksamkeit reicht nicht dazu aus, die Ebene mit höherer Dichte <111> zu ätzen. Da die weniger dichte Ebene <110> der Oberseite 419 mit der Hartmaske 412 abgedeckt ist und da die chemische Wirksamkeit der Ätzung nicht dazu ausreicht, die Ebene <111> zu ätzen, wird die Struktur 414 in eine Struktur 430 umgewandelt, die ein Paar seitlich gegenüberliegende Seitenwände 432 hat, die durch Ebenen <111> definiert sind (siehe 4C). Nachdem die Struktur 414 lange genug der Facettierungsätzung ausgesetzt worden ist, sind die Seitenwände 432 durch die Ebenen <111> definiert und erzeugen eine Struktur mit einer V-Form oder nach innen verjüngten Seitenwänden. Die Seitenwände 432 verlaufen in einem Winkel gamma von ca. 62,5 Grad von der Oberseite 419 der Struktur 430 nach innen. In einer Ausführungsform der vorliegenden Erfindung hat die Oberseite 419 eine Breite (W1) zwischen seitlich gegenüberliegenden Seitenwänden 430, die zwischen 20 und 30 nm beträgt, und eine Unterseite hat eine Breite (W2) zwischen seitlich gegenüberliegenden Seitenwänden 440, die zwischen 10 und 15 nm beträgt. Eine Kombination von Kristallorientierung, Hartmaskenabdeckung und einer Naßätzung mit der geeigneten chemischen Wirksamkeit ermöglicht die Bildung der Siliziumstruktur 430 mit nach innen verjüngten Seitenwänden 432.
  • Wie oben erörtert, ist die Struktur 430 dazu verwendbar, eine Vielfalt von wohlbekannten Halbleiterbauelementen, zum Beispiel nichtebene oder dreidimensionale Siliziumbauelemente sowie optoelektronische Bauelemente und MEMS-Bauelemente, zu schaffen. In einer Ausführungsform der vorliegenden Erfindung wird die Siliziumstruktur 430 dazu verwendet, einen Siliziumkörper eines nichtebenen Transistors, zum Beispiel eines in 4D veranschaulichten Tri-Gate-Transistors 440, zu bilden. Der Tri-Gate-Transistor 440 hat eine Gate-Dielektrikumschicht 450 und eine Gate-Elektrode 460, die über einem Abschnitt des Siliziumkörpers 430 und um diesen Abschnitt herum ausgebildet sind (siehe 4D). Die Gate-Elektrode 460 verläuft senkrecht zu den Seitenwänden 432 (siehe 4D). Die Gate-Dielektrikumschicht 450 und die Gate-Elektrode 460 können aus einem beliebigen geeigneten Material und gemäß einem beliebigen geeigneten bekannten Verfahren, zum Beispiel den oben beschriebenen, gebildet werden. Eine Source-Zone 472 und ein Drain 474 werden in dem Siliziumkörper 430 auf gegenüberliegenden Seiten der Gate-Elektrode 460 gebildet. (siehe 4D). Die Ladungswanderung von der Source-Zone 472 zu der Drain-Zone 474 in dem Siliziumkörper 430 erfolgt parallel zu der oder ausgerichtet auf die Ebene <110>. Die nach innen verjüngten Seitenwände 432 des Siliziumkörpers 430 stellen eine gute Gate-Steuerung 460 der Kanalzone des Bauelements bereit, was das schnelle Ein- und Ausschalten des Bauelements 440 ermöglicht.
  • Zwar ist die vorliegende Erfindung bisher hinsichtlich der Formgebung oder „Facettierung" von einkristallinen Siliziumstrukturen unter Verwendung einer Kombination von Kristallorientierung, Hartmaskenabdeckung und gut gesteuerten Naßätzmitteln beschrieben worden, doch sind Konzepte der vorliegenden Erfindung ebenso auf andere Typen von einkristallinen Halbleiterfilmen anwendbar, zum Beispiel, ohne darauf beschränkt zu sein, Germanium (Ge), eine Legierung aus Silizium und Germanium (SixGey), Galliumarsenid (GaAs), Indiumantimonid (InSb), Galliumphosphid (GaP) und Galliumantimonid (GaSb). So ist zum Beispiel eine einkristalline Struktur aus Indiumantimonid (InSb) unter Verwendung eines Naßätzmittels, das eine wässerige Lösung von 0,05 bis 0,1 mol/L Zitronensäure umfaßt, in einem Temperaturbereich zwischen 5 und 15 °C facettierbar. Entsprechend ist eine einkristalline Struktur aus Galliumarsenid (GaAs) facettierbar, indem eine Galliumarsenidstruktur, die mit einer Hartmaske abgedeckt ist, einem Naßätzmittel, das eine wässerige Lösung von weniger als 0,05 mol/L Zitronensäure umfaßt, in einem Temperaturbereich zwischen 5 und 15 °C ausgesetzt wird.
  • Außerdem wird in einer Ausführungsform der vorliegenden Erfindung ein integrierter Schaltkreis aus einem p-leitenden Transistor und einem n-leitenden Transistor 520 gebildet, die so orientiert und/oder geformt werden, daß sie die Leistungsfähigkeit jedes Transistortyps optimieren. So erfolgt zum Beispiel in einer Ausführungsform der vorliegenden Erfindung (siehe 5) die Musterbildung eines einkristallinen Siliziumfilms mit einer globalen Kristallorientierung (100) so, wie das unter Bezugnahme auf die 2A bis 2F beschrieben wurde, um einen Siliziumkörper 512 für einen p-leitenden nichtebenen Transistor 510 zu bilden, wobei die Wanderung der Ladungen (Löcher) parallel zu einer Ebene <110> erfolgt, und erfolgt die Musterbildung auch so, wie das unter Bezugnahme auf die 3A bis 3D beschrieben wurde, um einen Siliziumkörper 522 für einen n-leitenden nichtebenen Transistor 520 zu bilden, wobei die Wanderung der Ladungen (Elektronen) parallel zu einer Ebene <100> erfolgt. Entsprechend werden in einer Ausführungsform der vorliegenden Erfindung ein p-leitender nichtebener Transistor und ein n-leitender nichtebener Transistor nichtparallel zueinander (zum Beispiel um 45° versetzt) auf einem Substrat orientiert, um die Löcherbeweglichkeit für den p-leitenden Transistor und die Elektronenbeweglichkeit für den n-leitenden Transistor zu optimieren. In anderen Ausführungsformen der vorliegenden Erfindung werden die Halbleiterkörper des p-leitenden Bauelements und des n-leitenden Bauelements so relativ zueinander orientiert, daß ermöglicht wird, daß die Facettierungsätzung die Körper zu Strukturen formt, die die Leistungsfähigkeit jedes Bauelementtyps optimieren. Auf diese Weise ist die Leistungsfähigkeit eines integrierten Schaltkreises, der sowohl einen n-leitenden nichtebenen Transistor als auch einen p-leitenden nichtebenen Transistor einschließt, stark verbesserbar.
  • Zusammenfassung
  • Es wird ein Verfahren zur Musterbildung eines Halbleiterfilms beschrieben. Gemäß einer Ausführungsform der vorliegenden Erfindung wird ein Hartmaskenmaterial auf einem Siliziumfilm mit einer globalen Kristallorientierung gebildet, wobei der Halbleiterfilm eine erste Kristallebene und eine zweite Kristallebene hat, wobei die erste Kristallebene dichter als die zweite Kristallebene ist und wobei die Hartmaske auf der zweiten Kristallebene gebildet wird. Danach wird ein Muster einer mit einer Hartmaske abgedeckten Halbleiterstruktur aus der Hartmaske und dem Halbleiterfilm gebildet. Die mit einer Hartmaske abgedeckte Halbleiterstruktur wird dann einem Naßätzprozeß ausgesetzt, dessen chemische Wirksamkeit dazu ausreicht, die zweite Kristallebene zu ätzen, aber dessen chemische Wirksamkeit nicht dazu ausreicht, die erste Kristallebene zu ätzen.

Claims (21)

  1. Verfahren zur Musterbildung eines Halbleiterfilms, umfassend: Bilden eines Hartmaskenmaterials auf einem Halbleiterfilm mit einer globalen Kristallorientierung, wobei der Halbleiterfilm eine erste Kristallebene und eine zweite Kristallebene hat, wobei die erste Kristallebene dichter als die zweite Kristallebene ist und wobei die Hartmaske auf der zweiten Kristallebene gebildet wird, Bilden eines Musters einer mit einer Hartmaske abgedeckten Halbleiterstruktur aus dem Halbleiterfilm und dem Hartmaskenmaterial, und Einwirkenlassen eines Naßätzprozesses, dessen chemische Wirksamkeit dazu ausreicht, die zweite Kristallebene zu ätzen, aber dessen chemische Wirksamkeit nicht dazu ausreicht, die erste Kristallebene zu ätzen, auf die mit der Hartmaske abgedeckte Halbleiterstruktur.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß der Halbleiterfilm Silizium ist.
  3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß der Halbleiterfilm aus der Gruppe ausgewählt wird, die aus Germanium (Ge), einer Legierung aus Silizium und Germanium (SixGey), Galliumarsenid (GaAs), Indiumantimonid (InSb), Galliumphosphid (GaP) und Galliumantimonid (GaSb) besteht.
  4. Verfahren zur Musterbildung eines monokristallinen Siliziumfilms, umfassend: Bilden einer Hartmaske auf einem monokristallinen Siliziumfilm, Ätzen des monokristallinen Siliziumfilms auf eine solche Weise, daß dieser auf die Hartmaske ausgerichtet wird, um eine mit einer Hartmaske abgedeckte monokristalline Siliziumstruktur mit einer Oberseite und einem Paar seitlich gegenüberliegender Seitenwände zu bilden, und Einwirkenlassen eines naßchemischen Ätzmittels auf den mit der Hartmaske abgedeckten monokristallinen Siliziumfilm, um einen Abschnitt des monokristallinen Siliziumfilms wegzuätzen, wobei das Ätzmittel selbstlimitierend ist, so daß es auf der ersten angrenzenden Kristallebene <111> zum Stehen kommt.
  5. Verfahren nach Anspruch 4, dadurch gekennzeichnet, daß der monokristalline Siliziumfilm eine globale Kristallorientierung (100) hat.
  6. Verfahren nach Anspruch 5, dadurch gekennzeichnet, daß nach dem Ätzen der Siliziumstruktur die Seitenwände auf eine Ebene <110> ausgerichtet sind.
  7. Verfahren nach Anspruch 5, dadurch gekennzeichnet, daß nach dem Ätzen der Siliziumstruktur die Seitenwände auf eine Ebene <100> ausgerichtet sind.
  8. Verfahren nach Anspruch 4, dadurch gekennzeichnet, daß der monokristalline Siliziumfilm eine globale Kristallorientierung (110) hat.
  9. Verfahren nach Anspruch 8, dadurch gekennzeichnet, daß nach dem Ätzen der Siliziumstruktur die Seitenwände auf eine Ebene <110> ausgerichtet sind.
  10. Verfahren nach Anspruch 4, ferner umfassend das Bilden eines Gate-Dielektrikums und einer Gate-Elektrode oberhalb der Oberseite der Siliziumstruktur und auf den Seitenwänden der Struktur, nachdem die Struktur der Naßätzung ausgesetzt worden ist.
  11. Verfahren nach Anspruch 10, ferner umfassend das Entfernen der Hartmaske vor dem Bilden des Gate-Dielektrikums und der Gate-Elektrode.
  12. Verfahren nach Anspruch 10, ferner umfassend das Bilden einer Source-Zone und einer Drain-Zone in der Siliziumstruktur auf gegenüberliegenden Seiten der Gate-Elektrode.
  13. Verfahren nach Anspruch 4, dadurch gekennzeichnet, daß die chemische Wirksamkeit der Naßätzung dazu ausreicht, Ebenen <100> und <110> zu ätzen, aber die chemische Wirksamkeit nicht dazu ausreicht, Ebenen <111> zu ätzen.
  14. Verfahren nach Anspruch 4, dadurch gekennzeichnet, daß das Naßätzmittel NH4OH umfaßt.
  15. Verfahren nach Anspruch 14, dadurch gekennzeichnet, daß das Naßätzmittel NH4OH und Wasser umfaßt, wobei die NH4OH-Konzentration weniger als 1 Volumenprozent beträgt.
  16. Verfahren nach Anspruch 15, dadurch gekennzeichnet, daß die NH4OH-Konzentration zwischen 0,2 und 1 Volumenprozent beträgt und das Ätzmittel eine Temperatur zwischen 5 und 25 °C hat.
  17. Integrierter Schaltkreis, umfassend: einen ersten nichtebenen Transistor mit einem ersten Halbleiterkörper, wobei die Ladungswanderung in dem ersten Halbleiterkörper entlang einer ersten Richtung erfolgt, und einen zweiten nichtebenen Transistor mit einem zweiten Halbleiterkörper, wobei die Ladungswanderung in dem zweiten Halbleiterkörper entlang einer zweiten Richtung erfolgt, wobei die zweite Richtung nicht parallel zu der ersten Richtung verläuft.
  18. Integrierter Schaltkreis nach Anspruch 17, dadurch gekennzeichnet, daß die erste Richtung in einem Winkel von ca. 45 Grad relativ zu der zweiten Richtung verläuft.
  19. Integrierter Schaltkreis nach Anspruch 17, dadurch gekennzeichnet, daß der erste nichtebene Transistor ein n-leitender Feldeffekttransistor ist und daß der erste Halbleiterkörper aus einem einkristallinen Siliziumfilm gebildet ist und daß die erste Richtung parallel zu einer Ebene <100> des siliziumkristallinen Siliziumfilms verläuft.
  20. Integrierter Schaltkreis nach Anspruch 17, dadurch gekennzeichnet, daß der zweite nichtebene Transistor ein p-leitender Feldeffekttransistor ist und daß der einkristalline zweite Halbleiterkörper ein einkristalliner Siliziumfilm ist und daß die zweite Richtung parallel zu einer Ebene <100> verläuft.
  21. Integrierter Schaltkreis nach Anspruch 17, dadurch gekennzeichnet, daß der zweite nichtebene Transistor ein p-leitender Feldeffekttransistor ist und daß der erste Halbleiterkörper aus einem einkristallinen Siliziumfilm gebildet ist und daß die erste Richtung parallel zu einer Ebene <110> des Siliziumfilms verläuft und daß der erste nichtebene Transistor ein n-leitender Feldeffekttransistor ist, der zweite Halbleiterkörper ein einkristalliner Siliziumfilm ist und die zweite Richtung parallel zu einer Ebene <100> verläuft.
DE112006001589T 2005-06-21 2006-06-20 Verfahren zur Bildung von Halbleiterstrukturen Expired - Fee Related DE112006001589B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/158,661 US7547637B2 (en) 2005-06-21 2005-06-21 Methods for patterning a semiconductor film
US11/158,661 2005-06-21
PCT/US2006/024516 WO2007002426A2 (en) 2005-06-21 2006-06-20 Semiconductor device structures and methods of forming semiconductor structures

Publications (2)

Publication Number Publication Date
DE112006001589T5 true DE112006001589T5 (de) 2008-04-30
DE112006001589B4 DE112006001589B4 (de) 2012-10-25

Family

ID=37036803

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112006001589T Expired - Fee Related DE112006001589B4 (de) 2005-06-21 2006-06-20 Verfahren zur Bildung von Halbleiterstrukturen

Country Status (5)

Country Link
US (6) US7547637B2 (de)
CN (1) CN101199042B (de)
DE (1) DE112006001589B4 (de)
TW (1) TWI319210B (de)
WO (1) WO2007002426A2 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7622773B2 (en) 2006-02-15 2009-11-24 Kabushiki Kaisha Toshiba Semiconductor device including multi-gate metal-insulator-semiconductor (MIS) transistor
DE102015106689A1 (de) * 2015-04-29 2016-11-03 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleitervorrichtung mit geneigten Ionenimplantationsprozessen, Halbleitervorrichtung und integrierte Schaltung

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7666741B2 (en) * 2006-01-17 2010-02-23 International Business Machines Corporation Corner clipping for field effect devices
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
US8518767B2 (en) * 2007-02-28 2013-08-27 International Business Machines Corporation FinFET with reduced gate to fin overlay sensitivity
US7452758B2 (en) * 2007-03-14 2008-11-18 International Business Machines Corporation Process for making FinFET device with body contact and buried oxide junction isolation
JP2008300384A (ja) * 2007-05-29 2008-12-11 Elpida Memory Inc 半導体装置及びその製造方法
US7700427B2 (en) * 2007-06-13 2010-04-20 Qimonda Ag Integrated circuit having a Fin structure
US7625790B2 (en) * 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
JP2009054946A (ja) * 2007-08-29 2009-03-12 Seiko Instruments Inc 半導体装置とその製造方法
JP4966153B2 (ja) * 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
US20090283829A1 (en) * 2008-05-13 2009-11-19 International Business Machines Corporation Finfet with a v-shaped channel
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
FR2935539B1 (fr) * 2008-08-26 2010-12-10 Commissariat Energie Atomique Circuit cmos tridimensionnel sur deux substrats desalignes et procede de realisation
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8072027B2 (en) * 2009-06-08 2011-12-06 Fairchild Semiconductor Corporation 3D channel architecture for semiconductor devices
KR101354844B1 (ko) * 2009-07-08 2014-01-22 가부시끼가이샤 도시바 반도체 장치 및 그의 제조 방법
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8759943B2 (en) * 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8084822B2 (en) * 2009-09-30 2011-12-27 International Business Machines Corporation Enhanced stress-retention fin-FET devices and methods of fabricating enhanced stress retention fin-FET devices
US8076735B2 (en) * 2009-10-02 2011-12-13 United Microelectronics Corp. Semiconductor device with trench of various widths
US20110084214A1 (en) * 2009-10-08 2011-04-14 Tel Epion Inc. Gas cluster ion beam processing method for preparing an isolation layer in non-planar gate structures
US8237136B2 (en) * 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
CN102104058B (zh) * 2009-12-16 2012-12-12 中国科学院微电子研究所 半导体材料鳍片
US8936976B2 (en) * 2009-12-23 2015-01-20 Intel Corporation Conductivity improvements for III-V semiconductor devices
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
CN102214676A (zh) * 2010-04-09 2011-10-12 中国科学院微电子研究所 包含鳍片的半导体结构及其制造方法
CN102347350A (zh) * 2010-07-30 2012-02-08 中国科学院微电子研究所 一种半导体结构及其制造方法
US8669155B2 (en) * 2010-09-03 2014-03-11 Institute of Microelectronics, Chinese Academy of Sciences Hybrid channel semiconductor device and method for forming the same
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US20120146101A1 (en) * 2010-12-13 2012-06-14 Chun-Hsien Lin Multi-gate transistor devices and manufacturing method thereof
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US20120199888A1 (en) * 2011-02-09 2012-08-09 United Microelectronics Corporation Fin field-effect transistor structure
US8349692B2 (en) * 2011-03-08 2013-01-08 Globalfoundries Singapore Pte. Ltd. Channel surface technique for fabrication of FinFET devices
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
JP6019599B2 (ja) 2011-03-31 2016-11-02 ソニー株式会社 半導体装置、および、その製造方法
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
TWI512838B (zh) * 2011-09-23 2015-12-11 United Microelectronics Corp 半導體製程
US20130134546A1 (en) * 2011-11-30 2013-05-30 International Business Machines Corporation High density multi-electrode array
CN104137265B (zh) 2011-12-22 2017-11-17 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
US20130175618A1 (en) 2012-01-05 2013-07-11 International Business Machines Corporation Finfet device
US9323010B2 (en) * 2012-01-10 2016-04-26 Invensas Corporation Structures formed using monocrystalline silicon and/or other materials for optical and other applications
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9105654B2 (en) 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
CN103377922B (zh) * 2012-04-23 2015-12-16 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应晶体管及其形成方法
KR101909204B1 (ko) 2012-06-25 2018-10-17 삼성전자 주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US8946033B2 (en) 2012-07-30 2015-02-03 International Business Machines Corporation Merged fin finFET with (100) sidewall surfaces and method of making same
CN103594362B (zh) * 2012-08-13 2017-02-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN103681330B (zh) * 2012-09-10 2016-03-16 中芯国际集成电路制造(上海)有限公司 鳍部及其形成方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
CN103794498B (zh) * 2012-10-29 2016-12-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
US8969145B2 (en) * 2013-01-19 2015-03-03 International Business Machines Corporation Wire-last integration method and structure for III-V nanowire devices
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US20140306286A1 (en) * 2013-04-10 2014-10-16 International Business Machines Corporation Tapered fin field effect transistor
US9153668B2 (en) * 2013-05-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning tensile strain on FinFET
US9299784B2 (en) * 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
CN104576380B (zh) 2013-10-13 2017-09-15 中国科学院微电子研究所 一种finfet制造方法
US20150187915A1 (en) * 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor
US9691763B2 (en) * 2013-12-27 2017-06-27 International Business Machines Corporation Multi-gate FinFET semiconductor device with flexible design width
US20150187909A1 (en) * 2013-12-30 2015-07-02 Global Foundries, Inc. Methods for fabricating multiple-gate integrated circuits
CN104779283A (zh) * 2014-01-09 2015-07-15 中芯国际集成电路制造(上海)有限公司 增强栅控和电流驱动的finfet器件及制备方法
CN104779285B (zh) * 2014-01-09 2018-07-10 中芯国际集成电路制造(上海)有限公司 Finfet半导体器件及其制备方法
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
JP5779739B1 (ja) * 2014-02-18 2015-09-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法、及び、半導体装置
WO2015167445A2 (en) * 2014-04-29 2015-11-05 Hewlett-Packard Development Company, L.P. Antennas with bridged ground planes
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
US9263586B2 (en) 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
CN105428238B (zh) * 2014-09-17 2019-01-22 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制作方法和电子装置
US9985112B2 (en) 2015-02-06 2018-05-29 International Business Machines Corporation Sloped finFET with methods of forming same
US9437445B1 (en) 2015-02-24 2016-09-06 International Business Machines Corporation Dual fin integration for electron and hole mobility enhancement
US10224458B2 (en) * 2015-03-06 2019-03-05 Stanley Electric Co., Ltd. Group III nitride laminate, luminescence element comprising said laminate, and method of producing group III nitride laminate
US9425259B1 (en) 2015-07-17 2016-08-23 Samsung Electronics Co., Ltd. Semiconductor device having a fin
KR102319661B1 (ko) * 2015-08-07 2021-11-03 삼성전자주식회사 전자 장치 및 전자 장치의 보안 정보 저장 방법
US10734488B2 (en) 2015-09-11 2020-08-04 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9985031B2 (en) 2016-01-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and manufacturing method thereof
US11276755B2 (en) 2016-06-17 2022-03-15 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
JP6547702B2 (ja) * 2016-07-26 2019-07-24 信越半導体株式会社 半導体装置の製造方法及び半導体装置の評価方法
CN106298936A (zh) * 2016-08-16 2017-01-04 北京大学 一种倒梯形顶栅结构鳍式场效应晶体管及其制备方法
US10529862B2 (en) * 2016-11-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming semiconductor fin thereof
IL301302A (en) * 2017-03-08 2023-05-01 Hope Medical Entpr Inc Dba Hope Pharmaceuticals Intradialytic use of sodium nitrite
CN109390397B (zh) 2017-08-03 2023-03-10 联华电子股份有限公司 半导体元件及其制作方法
US10641957B2 (en) 2017-08-29 2020-05-05 Juniper Networks, Inc. Smooth waveguide structures and manufacturing methods
US10825931B2 (en) * 2018-02-13 2020-11-03 Nanya Technology Corporation Semiconductor device with undercutted-gate and method of fabricating the same
DE112018006806T5 (de) * 2018-03-22 2020-09-24 Intel Corporation Halbleiter-nanodrahtvorrichtung mit (111)- ebenenkanalseitenwänden
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11171211B1 (en) 2020-05-11 2021-11-09 Samsung Electronics Co., Ltd. Group IV and III-V p-type MOSFET with high hole mobility and method of manufacturing the same

Family Cites Families (482)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
JPS59145538A (ja) * 1983-10-21 1984-08-21 Hitachi Ltd 半導体装置の製造方法
GB2156149A (en) * 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4872046A (en) 1986-01-24 1989-10-03 University Of Illinois Heterojunction semiconductor device with <001> tilt
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
FR2605647B1 (fr) 1986-10-27 1993-01-29 Nissim Yves Procede de depot en phase vapeur par flash thermique d'une couche isolante sur un substrat en materiau iii-v, application a la fabrication d'une structure mis
US4751201A (en) 1987-03-04 1988-06-14 Bell Communications Research, Inc. Passivation of gallium arsenide devices with sodium sulfide
US4818715A (en) * 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR910010043B1 (ko) 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
US4871692A (en) 1988-09-30 1989-10-03 Lee Hong H Passivation of group III-V surfaces
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
JP2553702B2 (ja) * 1989-05-18 1996-11-13 日産自動車株式会社 半導体装置およびその製造方法
NL8902292A (nl) 1989-09-14 1991-04-02 Philips Nv Werkwijze voor het vervaardigen van een een mesa bevattende halfgeleiderinrichting.
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5278102A (en) 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
DE69213539T2 (de) 1991-04-26 1997-02-20 Canon Kk Halbleitervorrichtung mit verbessertem isoliertem Gate-Transistor
JPH05152293A (ja) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) * 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) * 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
KR960002088B1 (ko) * 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
US6730549B1 (en) 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
US5398641A (en) 1993-07-27 1995-03-21 Texas Instruments Incorporated Method for p-type doping of semiconductor structures formed of group II and group VI elements
JPH0750410A (ja) 1993-08-06 1995-02-21 Hitachi Ltd 半導体結晶積層体及びその形成方法並びに半導体装置
JP3460863B2 (ja) 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5554870A (en) 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US5883564A (en) 1994-04-18 1999-03-16 General Motors Corporation Magnetic field sensor having high mobility thin indium antimonide active layer on thin aluminum indium antimonide buffer layer
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3317582B2 (ja) 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
US5814544A (en) 1994-07-14 1998-09-29 Vlsi Technology, Inc. Forming a MOS transistor with a recessed channel
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
US5728594A (en) 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
KR0143713B1 (ko) 1994-12-26 1998-07-01 김주용 트랜지스터 및 그 제조 방법
JPH08204191A (ja) * 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5814545A (en) 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) * 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW548686B (en) * 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH10150185A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
FR2757312B1 (fr) 1996-12-16 1999-01-08 Commissariat Energie Atomique Transistor mis a grille metallique auto-alignee et son procede de fabrication
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
JP3382840B2 (ja) 1997-05-23 2003-03-04 シャープ株式会社 半導体装置の製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JPH1140811A (ja) 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US5981400A (en) 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) * 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6031248A (en) * 1998-04-28 2000-02-29 Xerox Corporation Hybrid sensor pixel architecture
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US20030014379A1 (en) * 1999-07-01 2003-01-16 Isaac Saias Adaptive and reliable system and method for operations management
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6317444B1 (en) 1998-06-12 2001-11-13 Agere System Optoelectronics Guardian Corp. Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6117697A (en) 1998-07-27 2000-09-12 The United States Of America As Represented By The Secretary Of The Air Force Solid state magnetic field sensor method
JP2000037842A (ja) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd 電磁波吸収化粧材
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6093947A (en) 1998-08-19 2000-07-25 International Business Machines Corporation Recessed-gate MOSFET with out-diffused source/drain extension
JP2000156502A (ja) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6406795B1 (en) 1998-11-25 2002-06-18 Applied Optoelectronics, Inc. Compliant universal substrates for optoelectronic and electronic devices
US6200865B1 (en) 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
US6252262B1 (en) 1998-12-15 2001-06-26 The United States Of America As Represented By The Secretary Of The Navy Metal passivating layer for III-V semiconductors, and improved gate contact for III-V-based metal-insulator-semiconductor (MIS) devices
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
DE60001601T2 (de) * 1999-06-18 2003-12-18 Lucent Technologies Inc Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
US6133593A (en) 1999-07-23 2000-10-17 The United States Of America As Represented By The Secretary Of The Navy Channel design to reduce impact ionization in heterostructure field-effect transistors
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6124177A (en) 1999-08-13 2000-09-26 Taiwan Semiconductor Manufacturing Company Method for making deep sub-micron mosfet structures having improved electrical characteristics
US6320212B1 (en) 1999-09-02 2001-11-20 Hrl Laboratories, Llc. Superlattice fabrication for InAs/GaSb/AISb semiconductor structures
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (de) 1999-10-06 2005-01-12 Lsi Logic Corporation Vollständig verarmter und invertierter CMOSFET mit vertikalem Kanal und dualem Gate
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) * 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (ja) * 2000-02-23 2006-11-15 信越半導体株式会社 ウエーハ外周面取部の研磨方法及び研磨装置
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
GB2362506A (en) 2000-05-19 2001-11-21 Secr Defence Field effect transistor with an InSb quantum well and minority carrier extraction
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
JP4112358B2 (ja) 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 電界効果トランジスタ
US6992319B2 (en) 2000-07-18 2006-01-31 Epitaxial Technologies Ultra-linear multi-channel field effect transistor
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002047034A (ja) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) * 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002298051A (ja) 2001-03-30 2002-10-11 Mizuho Bank Ltd ポイント交換サービス・システム
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6764965B2 (en) 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US6906350B2 (en) 2001-10-24 2005-06-14 Cree, Inc. Delta doped silicon carbide metal-semiconductor field effect transistors having a gate disposed in a double recess structure
EP1306890A2 (de) 2001-10-25 2003-05-02 Matsushita Electric Industrial Co., Ltd. Halbleitersubstrat und -bauelement aus SiC und Verfahren zu deren Herstellung
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
JP4265882B2 (ja) * 2001-12-13 2009-05-20 忠弘 大見 相補型mis装置
US6610576B2 (en) 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) * 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
JP3634320B2 (ja) 2002-03-29 2005-03-30 株式会社東芝 半導体装置及び半導体装置の製造方法
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
FR2838238B1 (fr) * 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6693321B1 (en) * 2002-05-15 2004-02-17 Advanced Micro Devices, Inc. Replacing layers of an intergate dielectric layer with high-K material for improved scalability
AU2003242444B2 (en) * 2002-05-23 2008-02-07 Eiji Yoshida Plug replacing device and plug replacing method
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US6705571B2 (en) * 2002-07-22 2004-03-16 Northrop Grumman Corporation System and method for loading stores on an aircraft
KR100477543B1 (ko) 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (de) 2002-07-31 2016-11-23 Micron Technology, Inc. Verfahren zur Herstellung von integrierten Halbleiterschaltungsstrukturen
JP2004071996A (ja) 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US6956281B2 (en) 2002-08-21 2005-10-18 Freescale Semiconductor, Inc. Semiconductor device for reducing photovolatic current
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6812527B2 (en) 2002-09-05 2004-11-02 International Business Machines Corporation Method to control device threshold of SOI MOSFET's
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) * 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US7214991B2 (en) 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
KR100487922B1 (ko) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6867425B2 (en) 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
US6869868B2 (en) 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
JP4418760B2 (ja) 2002-12-20 2010-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーション フィン型fetおよびcmosデバイスのための一体化アンチヒューズ構造
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US6949433B1 (en) 2003-02-07 2005-09-27 Fasl Llc Method of formation of semiconductor resistant to hot carrier injection stress
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (ja) * 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
US6888179B2 (en) 2003-04-17 2005-05-03 Bae Systems Information And Electronic Systems Integration Inc GaAs substrate with Sb buffering for high in devices
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20070108514A1 (en) 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US20040219711A1 (en) 2003-04-30 2004-11-04 Bi-Chu Wu Method for manufacturing a polymer chip and an integrated mold for the same
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
EP1643560A4 (de) 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd Halbleiterbauelement und verfahren zu seiner herstellung
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6919647B2 (en) 2003-07-03 2005-07-19 American Semiconductor, Inc. SRAM cell
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7013447B2 (en) 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (de) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Halbleiterbauelement mit mehrfachem Gate und diesbezügliches Herstellungsverfahren
US7301206B2 (en) 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
WO2005022637A1 (ja) 2003-08-28 2005-03-10 Nec Corporation フィン型電界効果トランジスタを有する半導体装置
US7041601B1 (en) 2003-09-03 2006-05-09 Advanced Micro Devices, Inc. Method of manufacturing metal gate MOSFET with strained channel
US6998301B1 (en) 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
US6949443B2 (en) 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Company High performance semiconductor devices fabricated with strain-induced processes and methods for making same
US20050139860A1 (en) 2003-10-22 2005-06-30 Snyder John P. Dynamic schottky barrier MOSFET device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
JP2005183770A (ja) 2003-12-22 2005-07-07 Mitsubishi Electric Corp 高周波用半導体装置
US7569882B2 (en) 2003-12-23 2009-08-04 Interuniversitair Microelektronica Centrum (Imec) Non-volatile multibit memory cell and method of manufacturing thereof
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
US7247578B2 (en) * 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7705345B2 (en) 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (de) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Mehrfach-Steuerelektroden Transistor und dessen Herstellungsmethode
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7701018B2 (en) 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US6864540B1 (en) 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100532564B1 (ko) 2004-05-25 2005-12-01 한국전자통신연구원 다중 게이트 모스 트랜지스터 및 그 제조 방법
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
WO2005122276A1 (ja) 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7413957B2 (en) 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
KR100541657B1 (ko) 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US7091069B2 (en) 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7238601B2 (en) 2004-09-10 2007-07-03 Freescale Semiconductor, Inc. Semiconductor device having conductive spacers in sidewall regions and method for forming
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
DE102005002397A1 (de) * 2004-10-18 2006-04-27 Werth Messtechnik Gmbh Verfahren zur Abstandsbestimmung
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7479684B2 (en) 2004-11-02 2009-01-20 International Business Machines Corporation Field effect transistor including damascene gate with an internal spacer structure
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060148182A1 (en) 2005-01-03 2006-07-06 Suman Datta Quantum well transistor using high dielectric constant dielectric layer
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7071047B1 (en) 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7470951B2 (en) 2005-01-31 2008-12-30 Freescale Semiconductor, Inc. Hybrid-FET and its application as SRAM
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20060180859A1 (en) 2005-02-16 2006-08-17 Marko Radosavljevic Metal gate carbon nanotube transistor
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
JP4825526B2 (ja) 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
JP4718908B2 (ja) 2005-06-14 2011-07-06 株式会社東芝 半導体装置および半導体装置の製造方法
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7569443B2 (en) 2005-06-21 2009-08-04 Intel Corporation Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070069302A1 (en) 2005-09-28 2007-03-29 Been-Yih Jin Method of fabricating CMOS devices having a single work function gate electrode by band gap engineering and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7341902B2 (en) 2006-04-21 2008-03-11 International Business Machines Corporation Finfet/trigate stress-memorization method
US7638843B2 (en) 2006-05-05 2009-12-29 Texas Instruments Incorporated Integrating high performance and low power multi-gate devices
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US20080017890A1 (en) 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7573059B2 (en) 2006-08-02 2009-08-11 Intel Corporation Dislocation-free InSb quantum well structure on Si using novel buffer architecture
US7439120B2 (en) 2006-08-11 2008-10-21 Advanced Micro Devices, Inc. Method for fabricating stress enhanced MOS circuits
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7646046B2 (en) 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
CA2669704A1 (en) 2006-11-16 2008-05-22 Allergan, Inc. Sulfoximines as kinase inhibitors
US7678632B2 (en) 2006-11-17 2010-03-16 Infineon Technologies Ag MuGFET with increased thermal mass
US7655989B2 (en) 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US20080128797A1 (en) 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080212392A1 (en) 2007-03-02 2008-09-04 Infineon Technologies Multiple port mugfet sram
JP4406439B2 (ja) 2007-03-29 2010-01-27 株式会社東芝 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7622773B2 (en) 2006-02-15 2009-11-24 Kabushiki Kaisha Toshiba Semiconductor device including multi-gate metal-insulator-semiconductor (MIS) transistor
DE102007007261B4 (de) * 2006-02-15 2010-03-18 Kabushiki Kaisha Toshiba Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE102015106689A1 (de) * 2015-04-29 2016-11-03 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleitervorrichtung mit geneigten Ionenimplantationsprozessen, Halbleitervorrichtung und integrierte Schaltung
US9661707B2 (en) 2015-04-29 2017-05-23 Infineon Technologies Ag Method for manufacturing a semiconductor device using tilted ion implantation processes, semiconductor device and integrated circuit

Also Published As

Publication number Publication date
WO2007002426A2 (en) 2007-01-04
DE112006001589B4 (de) 2012-10-25
US20090218603A1 (en) 2009-09-03
US8933458B2 (en) 2015-01-13
CN101199042B (zh) 2011-05-25
CN101199042A (zh) 2008-06-11
US9761724B2 (en) 2017-09-12
US20070001173A1 (en) 2007-01-04
TWI319210B (en) 2010-01-01
US9385180B2 (en) 2016-07-05
US20160293765A1 (en) 2016-10-06
US7547637B2 (en) 2009-06-16
US8071983B2 (en) 2011-12-06
US20150102429A1 (en) 2015-04-16
US8581258B2 (en) 2013-11-12
US20120032237A1 (en) 2012-02-09
US20140035009A1 (en) 2014-02-06
TW200721305A (en) 2007-06-01
WO2007002426A3 (en) 2007-03-15

Similar Documents

Publication Publication Date Title
DE112006001589B4 (de) Verfahren zur Bildung von Halbleiterstrukturen
DE112005002397B4 (de) Nicht-planare Halbleitereinrichtung mit verjüngtem unteren Körperabschnitt und Verfahren zur Herstellung
DE102017114427B4 (de) Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen
DE102012204516B4 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE112005000704B4 (de) Nicht-planarer Bulk-Transistor mit verspanntem Kanal mit erhöhter Mobilität und Verfahren zur Herstellung
DE102016119017B4 (de) Verfahren zur Halbleitervorrichtungsherstellung mit verbesserter Source-Drain-Epitaxie
DE102006015087B4 (de) Verfahren zur Herstellung von Transistoren
DE102008059646B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements als Mehr-Gatetransistor mit Stegen mit einer Länge, die durch die Gateelektrode definiert ist und Halbleiterbauelement
DE102016105520B4 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE102018124749A1 (de) Strukturen und Verfahren zur Rauschisolation in Halbleitervorrichtungen
DE102014204114A1 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt
DE102016101844B4 (de) Interconnect-Struktur, Herstellungsverfahren dafür und Halbleitervorrichtung mit einer solchen Interconnect-Struktur
DE102017121749A1 (de) Gitterfehlangepasste Halbleitersubstrate mit Fehlerverringerung
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102020119320A1 (de) Halbleitervorrichtung und verfahren
DE102019123627A1 (de) Finnen-feldeffekttransistorvorrichtung und verfahren zu deren herstellung
DE102019116036A1 (de) Halbleitervorrichtung und verfahren
DE112021003253T5 (de) Finfet mit seitlichem ladungsgleichgewicht an der drain-driftzone
DE102017127154B4 (de) Finnenstrukturierung für halbleitervorrichtungen
DE102020127426A1 (de) Ein-/Ausgabevorrichtungen
DE102021116076A1 (de) Halbleitervorrichtung und verfahren
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102016119024B4 (de) Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
DE102021113549B3 (de) Halbleitervorrichtung und verfahren
DE102018106170A1 (de) Geschnittenes metall-gate mit abgeschrägten seitenwänden

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20130126

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee