DE102005056323A1 - Device for simultaneously depositing layers on a number of substrates comprises process chambers arranged in a modular manner in a reactor housing - Google Patents

Device for simultaneously depositing layers on a number of substrates comprises process chambers arranged in a modular manner in a reactor housing Download PDF

Info

Publication number
DE102005056323A1
DE102005056323A1 DE200510056323 DE102005056323A DE102005056323A1 DE 102005056323 A1 DE102005056323 A1 DE 102005056323A1 DE 200510056323 DE200510056323 DE 200510056323 DE 102005056323 A DE102005056323 A DE 102005056323A DE 102005056323 A1 DE102005056323 A1 DE 102005056323A1
Authority
DE
Germany
Prior art keywords
gas
substrate holder
gas outlet
particular according
process chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE200510056323
Other languages
German (de)
Inventor
Gerhard Karl Strauch
Tom Seidel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron SE
Original Assignee
Aixtron SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron SE filed Critical Aixtron SE
Priority to DE200510056323 priority Critical patent/DE102005056323A1/en
Publication of DE102005056323A1 publication Critical patent/DE102005056323A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

Device for simultaneously depositing layers on a number of substrates (8) comprises process chambers (4) arranged in a modular manner in a reactor housing (1). Each chamber has a gas inlet (5) for introducing process gas and carrier gas into the chamber. The carrier gas is removed from each chamber using gas outlet channels (9). Preferred Features: The gas outlet channels open into a common gas outlet device (18). Substrate holders (7) are lifted from a charging position into a process position. The process chambers are arranged in a common plane.

Description

Die Erfindung betrifft eine Vorrichtung zum gleichzeitigen Abscheiden jeweils mindestens einer Schicht auf einer Vielzahl von Substraten, wobei die schichtbildenden Komponenten in Form von Prozessgasen zusammen mit einem Trägergas mittelst eines von einer Zuleitung gespeisten Gaseinlassorgans in eine Prozesskammer eines Reaktorgehäuses eingebracht werden, aus der zumindest das Trägergas durch einen Gasauslass entfernt wird, wobei die Substrate auf mindestens einem Substrathalter aufliegen, dadurch gekennzeichnet, dass im Reaktorgehäuse eine Vielzahl von Prozesskammern modulartig angeordnet sind, die jeweils ein Gaseinlassorgan aufweisen, mit dem die jeweilige Prozesskammer mit dem Prozessgas und dem Trägergas versorgt werden und aus welchen Prozesskammern zumindest das Trägergas durch einen jeweiligen Gasauslasskanal entfernt wird.The The invention relates to a device for simultaneous deposition each at least one layer on a plurality of substrates, wherein the layer-forming components in the form of process gases together with a carrier gas by means of a gas inlet element fed by a supply line a process chamber of a reactor housing are introduced, from the at least the carrier gas is removed by a gas outlet, wherein the substrates are at least rest on a substrate holder, characterized in that in reactor housing a plurality of process chambers are arranged in a modular manner, the each having a gas inlet member, with which the respective process chamber with the process gas and the carrier gas be supplied and from which process chambers at least the carrier gas a respective gas outlet channel is removed.

Aus der US 2003/019094 A1 ist eine Vorrichtung zum Abscheiden von Schichten auf einem Substrat bekannt. Auch diese Vorrichtung ist Teil einer komplexen Beschichtungsanlage. Die Vorrichtung besitzt ein Gehäuse, welches eine Prozesskammer ausbildet. Der Boden der Prozesskammer wird von einem Substrathalter ausgebildet, auf welchem das zu beschichtende Substrat aufliegt. Oberhalb des Substrathalters befindet sich ein Gaseinlassorgan, mit welchem die Prozessgase und die Prozessgase tragende Trägergase in die Prozesskammer eingeleitet werden können, wo die chemischen Prozesse, insbesondere Oberflächenprozesse stattfinden, bei denen schichtbildende Komponenten der Gase auf der Substratoberfläche aufwachsen. Die Prozesskammer kann durch eine seitliche Öffnung beladen werden.Out US 2003/019094 A1 is an apparatus for depositing layers known on a substrate. This device is also part of a complex Coating plant. The device has a housing which a process chamber is formed. The bottom of the process chamber is from a Substrate holder formed, on which the substrate to be coated rests. Above the substrate holder is a gas inlet member, with which carrier gases carrying the process gases and the process gases into the process chamber where the chemical processes, in particular surface processes take place, in which layer-forming components of the gases on the substrate surface grow up. The process chamber can be loaded through a side opening.

Aus der US 5.855.675 ist eine Vorrichtung zum Abscheiden einer Schicht auf einem Substrat bekannt, bei dem oberhalb eines Substrathalters ein Gaseinlassorgan angeordnet ist. Auch diese Vorrichtung ist Teil einer komplexen Beschichtungsanordnung. Der Substrathalter kann von einer Prozessstellung in eine Ent-/Beladestellung abgesenkt werden, in welcher ein beschichtetes Substrat aus der Prozesskammer entfernt und ein zu beschichtendes Substrat in die Prozesskammer eingelegt werden kann.From the US 5,855,675 a device for depositing a layer on a substrate is known in which above a substrate holder, a gas inlet member is arranged. This device is also part of a complex coating arrangement. The substrate holder can be lowered from a process position into an unloading / loading position, in which a coated substrate can be removed from the process chamber and a substrate to be coated can be inserted into the process chamber.

Der Erfindung liegt die Aufgabe zugrunde, eine herstellungstechnisch und betriebstechnisch einfache Vorrichtung zum gleichzeitigen Abscheiden jeweils einer Schicht auf mehreren Substraten anzugeben.Of the Invention is based on the object, a manufacturing technology and operationally simple device for simultaneously depositing each specify a layer on several substrates.

Gelöst wird die Aufgabe durch die in den Ansprüchen angegebene Erfindung, wobei jeder Anspruch eine eigenständige Lösung der Aufgabe darstellt und jeder Anspruch mit jedem anderen Anspruch kombinierbar ist.Is solved the object by the invention specified in the claims, Each claim is an independent solution to the problem and every claim can be combined with any other claim.

Es ist zunächst und im Wesentlichen vorgesehen, dass in einem einzigen Reaktorgehäuse eine Vielzahl von Prozesskammermodulen angeordnet sind. Die Substrate, die vorzugsweise eine Kreisscheibengestalt besitzen, liegen jetzt nicht in einer gemeinsamen Prozesskammer ein, sondern jeweils in einer gesonderten Prozesskammer, die aber zumindest im Bereich des Gasauslasses miteinander strömungsverbunden sind. Die einzelnen Prozesskammermodule des Reaktorgehäuses können in einem gemeinsamen Be-/Entladeschritt mit den zu beschichtenden Substraten beladen werden. Hierzu werden die Substrathalter von einer Prozessstellung in eine Be-/Entladestellung abgesenkt. In der Prozessstellung bilden die Wände des Substrathalters einen Gasauslasskanal. Die einzelnen Prozesskammern sind in der Prozessstellung mittelst Diffusionsbarrieren derartig voneinander getrennt, dass ein Übersprechen der Gase von einer Prozesskammer in eine andere Prozesskammer vermieden ist. Die einzelnen Prozesskammern sind in einer ge meinsamen Ebene angeordnet. Bevorzugt sind die Prozesskammern um ein Zentrum gruppiert. Das Zentrum wird von einer Drehachse eines mehrere Arme umfassenden Ent-/Beladeorganes, eines sogenannten Indexers gebildet. In der Prozessstellung liegen die Arme dieses Indexers in einem Spaltzwischenraum zwischen den einzelnen Prozesskammern. Dieser Spaltzwischenraum ist von einer Außenwandung eines Gasauslasskanales verschlossen. In der Prozessstellung, in welcher der Substrathalter angehoben ist, befindet sich die Oberfläche des Substrathalters, auf welchem das Substrat aufliegt, in einer Vertikalposition, die oberhalb des Spaltraumes ist. Ein oberer Abschnitt einer Kanalaußenwandung, die fest mit dem Substrathalter verbunden ist, greift in der Prozessstellung in eine Eintrittsnut eines ringförmigen Dichtungsorganes ein, das von einer Schürze überfangen ist. Diese ringförmige Schürze bildet die Umfangswandung der Prozesskammer und erstreckt sich vom Gaseinlassorgan in vertikaler Richtung bis in den Gasauslasskanal, der von einer Kanalinnenwandung und einer Kanalaußenwandung gebildet ist, wobei die Kanalinnenwandung fest mit dem Substrathalter verbunden ist und dessen Außenwandung bildet. Wird der Substrathalter von der so eben beschriebenen Prozessstellung, in welcher das Schichtwachstum auf der Oberfläche des Substrates erfolgt, in eine Be-/Entladestellung gesenkt, so wird der Spaltzwischenraum zwischen den einzelnen Prozesskammern geöffnet. Der Substrathalter liegt in dieser Be-/und Entladestellung unterhalb des Spaltzwischenraumes. Der Substrathalter besitzt Öffnungen zum Durchtritt von Stützstiften. Die Stützstifte werden von einem unterhalb des Substrathalters angeordneten Huborgans angehoben und untergreifen das Substrat, um es vom Substrathalter zu beabstanden. Die Substrate werden dabei vertikal bis in eine Höhe verlagert, die oberhalb des Indexerarmes liegt, so dass der Indexer um seine Drehachse verschwenkt werden kann, um in eine Position unterhalb des Substrates gebracht werden zu können. Werden die Stützstifte dann zurückgezogen, so wird das Substrat auf den Indexerarm aufgelegt, so dass es durch eine entsprechende Drehung des Indexers in eine Entnahmeposition geschwenkt werden kann. Hierzu besitzt das Reaktorgehäuse eine Seitenwandöffnung, durch die ein Roboterarm in das Reaktorgehäuse eingreifen kann. Die Substrathalter werden von einer Hubdrehachse getragen, die die Substrathalter in der zuvor geschilderten Weise vertikal verlagern können. Die Achse kann den Substrathalter auch Drehantreiben. Dabei werden die Kanalinnenwand und die Kanalaußenwand mitgedreht. Die Dichtung, innerhalb der ein oberer Abschnitt der Kanalaußenwandung einliegt, ist in entsprechender Weise gestaltet. Es kann sich hierbei auch um eine Gasdichtung handeln, die in entsprechender Weise mit einem Inertgas gespült wird.It is initially and essentially provided that a plurality of process chamber modules are arranged in a single reactor housing. The substrates, which preferably have a circular disk shape, are now not in a common process chamber, but each in a separate process chamber, but at least in the region of the gas outlet flow connected to each other. The individual process chamber modules of the reactor housing can be loaded in a common loading / unloading step with the substrates to be coated. For this purpose, the substrate holders are lowered from a process position to a loading / unloading position. In the process position, the walls of the substrate holder form a gas outlet channel. The individual process chambers are separated from one another in the process position by means of diffusion barriers in such a way that crosstalk of the gases from one process chamber into another process chamber is avoided. The individual process chambers are arranged in a common plane. Preferably, the process chambers are grouped around a center. The center is formed by a rotation axis of a multi-arms Ent- / Beladeorganes, a so-called Indexers. In the process position, the arms of this indexer lie in a gap gap between the individual process chambers. This gap gap is closed by an outer wall of a Gasauslasskanales. In the process position in which the substrate holder is raised, the surface of the substrate holder, on which the substrate rests, is in a vertical position, which is above the gap space. An upper portion of a channel outer wall, which is fixedly connected to the substrate holder engages in the process position in an inlet groove of an annular sealing member, which is covered by a skirt. This annular skirt forms the peripheral wall of the process chamber and extends from the gas inlet member in the vertical direction to the gas outlet channel, which is formed by a channel inner wall and a Kanalaußenwandung, wherein the channel inner wall is fixedly connected to the substrate holder and the outer wall forms. If the substrate holder is lowered from the process position just described, in which the layer growth takes place on the surface of the substrate, into a loading / unloading position, the gap gap between the individual process chambers is opened. The substrate holder lies in this loading and unloading below the gap gap. The substrate holder has openings for the passage of support pins. The support pins are lifted by a lifting member located below the substrate holder and engage under the substrate to space it from the substrate holder. The substrates are thereby displaced vertically to a height which is above the indexer arm, so that the indexer can be pivoted about its axis of rotation in order to be able to be brought into a position below the substrate can. If the support pins are then withdrawn, the substrate is placed on the indexer arm, so that it can be pivoted by a corresponding rotation of the indexer in a removal position. For this purpose, the reactor housing has a side wall opening through which a robot arm can engage in the reactor housing. The substrate holders are carried by a Hubdrehachse, which can move the substrate holder in the manner previously described vertically. The axle can also drive the substrate holder. In this case, the channel inner wall and the channel outer wall are rotated. The seal, within which an upper portion of the channel outer wall rests, is designed in a corresponding manner. It may also be a gas seal, which is flushed in a corresponding manner with an inert gas.

Die Gaszuleitungen, die in das Gaseinlassorgan mündet, können mit einem gemeinsamen Gasmischsystem verbunden sein, in welchem das Prozessgas mit dem Trägergas gemischt wird. Die ringförmigen Gasauslasskanäle jeder Prozesskammer sind mit einem gemeinsam Gassammelkanal verbunden, an welchem bspw. eine Vakuumpumpe angeschlossen ist.The Gas supply lines, which opens into the gas inlet element, with a common Gas mixing system be connected, in which the process gas with the carrier gas is mixed. The annular ones gas discharge ports each process chamber are connected to a common gas collection channel, to which, for example, a vacuum pump is connected.

Während in der Beladestellung alle Prozesskammern miteinander kommunizieren, sind sie in der Prozessstellung mittelst Diffusionsbarrieren voneinander getrennt. Es handelt sich bevorzugt um dynamische Diffusionsbarrieren, die durch Trennflüsse ausgebildet sind.While in the loading position all process chambers communicate with each other, are they in the process position by means of diffusion barriers from each other separated. They are preferably dynamic diffusion barriers, formed by separating flows are.

Ein Ausführungsbeispiel der Erfindung wird nachfolgend anhand beigefügter Zeichnungen erläutert. Es zeigen:One embodiment The invention will be explained below with reference to the accompanying drawings. It demonstrate:

1 den Diagonalschnitt durch zwei Prozesskammermodule eines Reaktorgehäuses in der Prozessstellung, 1 the diagonal section through two process chamber modules of a reactor housing in the process position,

2 die rechte Hälfte des Vertikalschnittes gemäß 1 in vergrößerter Darstellung und mit abgesenktem Substrathalter, 2 the right half of the vertical section according to 1 in an enlarged view and with lowered substrate holder,

3 eine Darstellung gemäß 2 mit dem Substrat in der Be-/Entladestellung, 3 a representation according to 2 with the substrate in the loading / unloading position,

4 die schematische Draufsicht auf einer Prozesseinrichtung mit insgesamt zwei Reaktorgehäusen und einer Transferkammer sowie einer Be-/und Entladeschleuse, 4 the schematic plan view of a process device with a total of two reactor housings and a transfer chamber and a loading and unloading lock,

5 eine Draufsicht auf ein geöffnetes Reaktorgehäuse mit Sicht auf die Oberflächen der Substrathalter, 5 a top view of an open reactor housing with a view of the surfaces of the substrate holder,

6 eine Darstellung gemäß 5 mit unter die Substrate geschwenkten Indexerarmen. 6 a representation according to 5 with Indexer arms pivoted under the substrates.

Das mit der Bezugsziffer 1 bezeichnete Reaktorgehäuse ist Teil einer mehrerer Prozesskammern umfassenden Beschichtungseinrichtung, wie sie in der 4 grob schematisch dargestellt ist. Dort besitzt die Vorrichtung insgesamt zwei Reaktorgehäuse, die jeweils vier Prozesskammern 4 aufweisen. Mittelst eines nicht dargestellten Roboterarmes einer Transferkammer 25 können Substrate von einem Magazin 26 in die einzelnen Reaktorgehäuse 1 eingebracht werden, wo sie in den Prozesskammermodulen 4 beschichtet werden. In den einzelnen Prozesskammern 4 eines jeden Reaktorgehäuses 1 finden gleichzeitig dieselben Prozessschritte statt, so dass in jeder der beiden in der 4 dargestellten Reaktorengehäuse gleichzeitig vier Substrate 8 beschichtet werden können. In den beiden Reaktorgehäusen 1 können unterschiedliche Prozesse ablaufen. Mit der Bezugsziffer 27 ist eine Ent-/und Beladeschleuse bezeichnet, mittelst welcher die Transferkammer 25 mit Substraten versorgt bzw. entsorgt werden können.That with the reference number 1 designated reactor housing is part of a plurality of process chambers comprising coating device, as shown in the 4 is shown roughly schematically. There, the device has a total of two reactor housings, each four process chambers 4 exhibit. Center of a not shown robotic arm of a transfer chamber 25 can be substrates from a magazine 26 into the individual reactor housings 1 where they are placed in the process chamber modules 4 be coated. In the individual process chambers 4 of each reactor housing 1 At the same time, the same process steps take place, so that in each of the two in the 4 shown reactor housing simultaneously four substrates 8th can be coated. In the two reactor housings 1 Different processes can take place. With the reference number 27 is denoted by a unloading and loading lock, by means of which the transfer chamber 25 can be supplied or disposed of with substrates.

Die 5 und 6 zeigen die Draufsicht auf ein geöffnetes Reaktorgehäuse 1; es ist zu erkennen, dass das Reaktorgehäuse 1' insgesamt vier Prozesskammern 4 ausbildet, die quadratisch um ein Zentrum 14 angeordnet sind, welches Zentrum 14 von der Drehachse eines Indexers gebildet ist.The 5 and 6 show the top view of an open reactor housing 1 ; it can be seen that the reactor housing 1' a total of four process chambers 4 trains square around a center 14 are arranged, which center 14 is formed by the rotation axis of an indexer.

Jede der vier Prozesskammern besitzt einen kreisscheibenförmigen Substrathalter 7 zur Aufnahme eines kreisscheibenförmigen Substrates 8. Das Substrat 8 liegt nahezu flächenausfüllend auf dem Substrathalter 7. Der Substrathalter 7 erstreckt sich nahezu über die gesamte Fläche der Prozesskammer 4. Lediglich der Rand der Prozesskammer 4, der von einer die Prozesskammer umgebenden Schürze 12 gebildet wird, wird nicht von dem Substrathalter 7 ausgefüllt. Hier befindet sich ein ringförmiger Gasauslasskanal 9.Each of the four process chambers has a circular disk-shaped substrate holder 7 for receiving a circular disk-shaped substrate 8th , The substrate 8th lies almost flat filling on the substrate holder 7 , The substrate holder 7 extends almost over the entire surface of the process chamber 4 , Only the edge of the process chamber 4 that of an apron surrounding the process chamber 12 is not formed by the substrate holder 7 filled. Here is an annular gas outlet channel 9 ,

Die Decke einer jeder Prozesskammer 4 wird von einem Gaseinlassorgan 5 mit einen kreisförmigen Grundriss ausgebildet. Das Gaseinlassorgan 5 wird von dem die Schürze 12 ausbildenden Ringkörper gefasst. In das Gaseinlassorgan 5 mündet eine Gaszuleitung 6 für die Prozessgase und ein Trägergas. Die Gaszuleitung 6 mündet in ein Gasvolumen, welches sich über nahezu die gesamte Fläche des Gaseinlassorganes 5 erstreckt. Das Gaseinlassorgan 5 besitzt an seiner zur Prozesskammer 4 weisenden Unterseite eine Vielzahl von siebartig angeordneten Gasaustrittsöffnungen 22. Durch diese Gasaustrittsöffnungen 22 kann das Prozessgas dem Trägergas in die Prozesskammer 4 einströmen. Es durchströmt die Prozesskammer 4 in horizontaler Radialrichtung bis in den Randbereich, wo es von der Schürze 12 vertikal abwärts umgelenkt wird. Es durchströmt dann einen ringförmigen Gasauslasskanal 9, der von einer Kanalinnenwand 10 und einer Kanalaußenwand 11 ausgebildet wird. Das aus dem diesem Kanal 9 strömende Gas strömt in einem gemeinsamen Gassammelkanal 18, der ringförmig die Achse 15 umgibt. An diesen Gassammelkanal 18 ist eine Vakuumpumpe angeschlossen.The ceiling of each process chamber 4 is from a gas inlet organ 5 formed with a circular floor plan. The gas inlet organ 5 that will be the apron 12 formed forming ring body. Into the gas inlet organ 5 opens a gas supply line 6 for the process gases and a carrier gas. The gas supply 6 opens into a gas volume which extends over almost the entire surface of the gas inlet organ 5 extends. The gas inlet organ 5 has at its to the process chamber 4 pointing bottom a variety of sieve-like arranged gas outlet openings 22 , Through these gas outlet openings 22 can the process gas the Trä gas in the process chamber 4 flow. It flows through the process chamber 4 in horizontal radial direction to the edge area, where it is from the apron 12 is deflected vertically downwards. It then flows through an annular gas outlet channel 9 from a canal interior wall 10 and a canal exterior wall 11 is trained. That from the this channel 9 flowing gas flows in a common gas collection channel 18 , the ring the axis 15 surrounds. At this gas collection channel 18 a vacuum pump is connected.

Die Kanalinnenwandung 10 ist die Außenwandung des Substrathalters 7. Der Substrathalter 7 bildet insgesamt einen topfförmigen Körper. Die Außenwandung des Topfbodens bildet die Auflagefläche für das Substrat 8. Die Außenwandung der zylinderförmigen Topfwandung 10 bildet die Innenwandung des Gasauslasskanales 9. Die die Kanalinnenwandung 10 mit einem Spaltabstand umgebene Kanalaußenwandung 11 ist mit dem Substrathalter 7 bzw. mit der Kanalinnenwandung fest verbunden. Hierzu können nicht dargestellte Stege oder Rippen vorgesehen sein.The channel inner wall 10 is the outer wall of the substrate holder 7 , The substrate holder 7 makes a whole pot-shaped body. The outer wall of the pot bottom forms the support surface for the substrate 8th , The outer wall of the cylindrical pot wall 10 forms the inner wall of the gas outlet channel 9 , The the channel inner wall 10 with a gap distance surrounded Kanalaußenwandung 11 is with the substrate holder 7 or firmly connected to the channel inner wall. For this purpose, webs or ribs, not shown, may be provided.

Ein oberer Abschnitt 11' der Kanalaußenwandung 11 tritt in der 1 dargestellten Prozessstellung in eine Ringnut 24 eines Dichtringes 13, der radial außerhalb der Schürze liegt, ein. In diesem Bereich 11' ist die Materialstärke der Kanalaußenwandung 11 zufolge einer Stufung vermindert. Der Dichtring 13 bildet eine nach unten offene Ringnut aus, in welche der Abschnitt 11' der Kanalaußenwandung 11 eintreten kann. Dadurch ist eine Gasabdichtung zwischen der Prozesskammer 4 und dem Spaltraum 17 gegeben. Die Außenwandung des mit der Bezugsziffer 11 bezeichneten Rohrabschnittes bildet auch eine Dichtfläche zu einer Gehäusewandung 30 des Gassammelkanales 18. Dadurch kann auch das Gas, das den Gassammelkanal 18 durchströmt, nicht in den Spaltraum 17 hinein gelangen.An upper section 11 ' the channel outer wall 11 occurs in the 1 illustrated process position in an annular groove 24 a sealing ring 13 located radially outside the skirt. In this area 11 ' is the material thickness of the channel outer wall 11 reduced according to a grading. The sealing ring 13 forms a downwardly open annular groove, in which the section 11 ' the channel outer wall 11 can occur. This creates a gas seal between the process chamber 4 and the gap space 17 given. The outer wall of the reference numeral 11 designated pipe section also forms a sealing surface to a housing wall 30 of the gas collection channel 18 , This also allows the gas that the gas collection channel 18 flows through, not in the gap 17 get in.

Wird der Substrathalter 7 aus der in 1 dargestellten Prozessstellung in die in 2 dargestellte Stellung abgesenkt, so verlagert sich die Außenfläche des rohrförmigen Teiles 11, welches die Kanalaußenwandung ausbildet entlang der Gehäusewandung 30.Becomes the substrate holder 7 from the in 1 presented process position in the in 2 lowered position shown, so shifts the outer surface of the tubular member 11 , which forms the Kanalaußenwandung along the housing wall 30 ,

Die 6 zeigt eine Ent-/Beladestellung, wie sie auch die 3 wiedergibt. Um in dieser, in 6 dargestellten Stellung ein Substrat 8 aufnehmen zu können, muss zuvor das Substrat angehoben werden. Hierzu besitzt jede Prozess kammer 4 unterhalb des Substrates 7 mindestens drei Stützstifte 20, die von einem nicht dargestellten Antrieb aus einer in der 2 dargestellten rückgezogenen Stellung in eine Stützstellung verlagert werden können. Diese Stützstellung ist in der 3 dargestellt. Dort wird das Substrat 8 von insgesamt drei Stützstiften 20 in einer Position gehalten, in welcher es oberhalb der Ebene liegt, innerhalb der welcher der Indexer geschwenkt werden kann. Ein Indexerarm 15 kann derart in dieser Stellung unter das Substrat 8 geschwenkt werden, dass Auflagepunkte 15' des Indexerarmes 15 und Auflagepunkte 16' eines Indexerstützarmes 16 unterhalb des Substrates liegen. Der Stützarm 16 verläuft etwa auf einer Umfangslinie und gibt dem Indexerarm eine Sichelform.The 6 shows a Ent- / Beladestellung, as well as the 3 reproduces. To be in this, in 6 shown position a substrate 8th To be able to record, the substrate must first be raised. For this each process chamber possesses 4 below the substrate 7 at least three support pins 20 , by an unillustrated drive from a in the 2 shown retracted position can be moved to a support position. This support position is in the 3 shown. There is the substrate 8th of a total of three support pins 20 held in a position in which it is above the plane within which the indexer can be pivoted. An indexer arm 15 can thus in this position under the substrate 8th be pivoted that support points 15 ' of the indexer arm 15 and support points 16 ' an indexer support arm 16 lie below the substrate. The support arm 16 runs approximately on a circumferential line and gives the indexer arm a sickle shape.

Werden ausgehend von der in der 3 dargestellten Stellung die Stützstifte 20 durch die Öffnungen 28 des Substrathalters 7 zurückgezogen, so wird das Substrat 8 auf die Auflagepunkte 15', 16' aufgelegt. Das Substrat kann dann durch Drehung des Indexers in eine Position gebracht werden, in der es durch eine Be- und Entladeöffnung 29 aus der Reaktorkammer entnommen werden kann. Auf diese Weise können nacheinander alle Substrate aus der Reaktorkammer 1' entfernt werden und zu beschichtende Substrate in die Prozesskammern 4 eingebracht werden.Be starting from the in the 3 shown position the support pins 20 through the openings 28 of the substrate holder 7 withdrawn, this becomes the substrate 8th on the support points 15 ' . 16 ' hung up. The substrate can then be brought by rotation of the indexer in a position in which it through a loading and unloading 29 can be removed from the reactor chamber. In this way, all the substrates from the reactor chamber can successively 1' be removed and substrates to be coated in the process chambers 4 be introduced.

Es wird als wesentlich erachtet, dass durch ein vertikales Verlagern der Suszeptoren bzw. der mit den Suszeptoren fest verbundenen Kanalwandung 11, 12 ein Schwenkfreiraum für die Indexer gebildet ist, um die Prozesskammer 4 in einer Be- und Entladestellung zu Be- und Entladen. Durch vertikales nach oben verlagern des Substrathalters 7 bzw. der Kanalwandungen 10, 11 wird der Bewegungsraum für den Indexer geschlossen. Die Prozesskammern 4 werden weitestgehend voneinander mittels Diffusionsbarrieren (sozusagen pneumatisch), getrennt, da in der angehobenen Substrathalterstellung der Kanal zwischen den Wandungen 10, 11 zu einem Gasauslasskanal 9 wird. In dieser Stellung bildet die Kanalaußen wandung 11 eine Verlängerung der die Prozesskammer umgebenden Wandung 12 nach unten aus.It is considered essential that by vertically displacing the susceptors or the channel wall firmly connected to the susceptors 11 . 12 a pivoting clearance for the indexers is formed around the process chamber 4 to load and unload in a loading and unloading position. By vertically shifting the substrate holder upwards 7 or the channel walls 10 . 11 the movement space for the indexer is closed. The process chambers 4 are largely separated from each other by means of diffusion barriers (pneumatic, so to speak), as in the raised substrate holder position of the channel between the walls 10 . 11 to a gas outlet duct 9 becomes. In this position, the channel outer wall forms 11 an extension of the wall surrounding the process chamber 12 down from.

Es ist möglich, den Substrathalter 7 drehanzutreiben. Hierzu dient die Achse 19. Bei dieser Drehung dreht sich der Substrathalter 7 um seine eigene Achse. Die Kanalaußenwandung 11 kann dabei drehmitgeschleppt werden. Das Dichtlager, in welchem der Abschnitt 11' der Außenwandung 11 in der Ringnut 24 des Dichtringes 13 einliegt ist entsprechend gestaltet. Es kann sich um eine gasgespülte Dichtung handeln.It is possible to use the substrate holder 7 rotatably drive. The axis is used for this purpose 19 , During this rotation, the substrate holder rotates 7 around its own axis. The channel outer wall 11 can be dragged. The sealing bearing, in which the section 11 ' the outer wall 11 in the ring groove 24 of the sealing ring 13 is designed accordingly. It can be a gas-purged seal.

Zufolge der Aufteilung der Prozesskammer in eine Vielzahl von Prozesskammermodulen, die in einer Prozessstellung mittels Diffusionsbarrieren pneumatisch von einander entkoppelt und die in einer End- und Beladestellung ein gemeinsames Gasvolumen ausbilden, ist einerseits eine einfache Handhabung des Prozesses möglich. Andererseits bilden sich keine (allenfalls nur geringe) Todvolumina aus, was zur Folge hat, dass in den Prozessen ein schneller Gaswechsel stattfinden kann. Dies erhöht die Effizienz des Prozesses.According to the division of the process chamber into a plurality of process chamber modules which are pneumatically decoupled from one another in a process position by means of diffusion barriers and which form a common gas volume in a final and loading position, simple handling of the process is possible on the one hand. On the other hand, no (at most only small) dead volumes are formed, which has the consequence that in the processes a fast Gas exchange can take place. This increases the efficiency of the process.

Die Substrathalter 7 können von unten beheizt werden. In den Zeichnungen ist die diesbezügliche Heizung nicht dargestellt. Sie kann oberhalb der mit der Bezugsziffer 21 bezeichneten Platte liegen.The substrate holder 7 can be heated from below. In the drawings, the relevant heating is not shown. It can be above the one with the reference number 21 designated plate lie.

Alle offenbarten Merkmale sind (für sich) erfindungswesentlich. In die Offenbarung der Anmeldung wird hiermit auch der Offenbarungsinhalt der zugehörigen/beigefügten Prioritätsunterlagen (Abschrift der Voranmeldung) vollinhaltlich mit einbezogen, auch zu dem Zweck, Merkmale dieser Unterlagen in Ansprüche vorliegender Anmeldung mit aufzunehmen.All disclosed features are (for itself) essential to the invention. In the disclosure of the application will hereby also the disclosure content of the associated / attached priority documents (Copy of the advance notice) fully included, too for the purpose, features of these documents in claims present Registration with.

Claims (12)

Vorrichtung zum gleichzeitigen Abscheiden jeweils mindestens einer Schicht auf einer Vielzahl von Substraten (8), wobei die schichtbildenden Komponenten in Form von Prozessgasen zusammen mit einem Trägergas mittelst eines von einer Zuleitung (6) gespeisten Gaseinlassorgans (5) in eine Prozesskammer (4) eines Reaktorgehäuses (1) eingebracht werden, aus der zumindest das Trägergas durch einen Gasauslass (9) entfernt wird, wobei die Substrate (8) auf mindestens einen Substrathalter (7) aufliegen, dadurch gekennzeichnet, dass im Reaktorgehäuse eine Vielzahl von Prozesskammern (4) modulartig angeordnet sind, die jeweils ein Gaseinlassorgan (5) aufweisen, mit dem die jeweilige Prozesskammer (4) mit dem Prozessgas und dem Trägergas versorgt werden und aus welchen Prozesskammern (4) zumindest das Trägergas durch einen jeweiligen Gasauslasskanal (9) entfernt wird.Device for simultaneous deposition of at least one layer on a plurality of substrates ( 8th ), wherein the layer-forming components in the form of process gases together with a carrier gas by means of one of a supply line ( 6 ) gas inlet organ ( 5 ) into a process chamber ( 4 ) of a reactor housing ( 1 ) are introduced, from which at least the carrier gas through a gas outlet ( 9 ), the substrates ( 8th ) on at least one substrate holder ( 7 ), characterized in that in the reactor housing a plurality of process chambers ( 4 ) are arranged in a modular manner, each having a gas inlet member ( 5 ), with which the respective process chamber ( 4 ) are supplied with the process gas and the carrier gas and from which process chambers ( 4 ) at least the carrier gas through a respective gas outlet channel ( 9 ) Will get removed. Vorrichtung nach Anspruch 1, oder insbesondere danach, dadurch gekennzeichnet, dass die Gasauslasskanäle (9) der Prozesskammern (4) in ein gemeinsames Gasauslassorgan (18) münden.Device according to claim 1, or in particular according thereto, characterized in that the gas outlet channels ( 9 ) of the process chambers ( 4 ) into a common gas outlet ( 18 ). Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Substrathalter (7) von einer Ent-/Beladestellung in eine Prozessstellung anhebbar sind, in welcher sich zwischen der Umfangsfläche des Substrathalters (10) und einer Umfangswandung (11) ein Gasauslasskanal (19) ausbildet. Device according to one or more of the preceding claims or in particular according thereto, characterized in that the substrate holders ( 7 ) can be lifted from a loading / unloading position into a process position, in which between the peripheral surface of the substrate holder ( 10 ) and a peripheral wall ( 11 ) a gas outlet channel ( 19 ) trains. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, gekennzeichnet durch jeweils dem Substrathalter (7) seitlich angeformte, einen kreisringförmigen Gasauslasskanal (9) bildende Wände.Device according to one or more of the preceding claims or in particular according thereto, characterized in each case by the substrate holder ( 7 ) laterally molded, an annular gas outlet channel ( 9 ) forming walls. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die den Ringkanal (9) ausbildenden Wände (10, 11) zusammen mit dem Substrathalter (7) vertikal verlagerbar sind.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the annular channel ( 9 ) forming walls ( 10 . 11 ) together with the substrate holder ( 7 ) are vertically displaceable. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass ein oberer Abschnitt (11') einer Kanalaußenwand (11) in einer Ringnut (24) einliegt.Device according to one or more of the preceding claims or in particular according thereto, characterized in that an upper section ( 11 ' ) a channel outer wall ( 11 ) in an annular groove ( 24 ) is present. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Ringnut (24) einer Schürze (12) zugeordnet ist, welche an das Gasauslassorgan (5) angrenzt.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the annular groove ( 24 ) an apron ( 12 ) associated with the gas outlet member ( 5 ) adjoins. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Prozesskammern (4) in einer gemeinsamen Ebene angeordnet sind.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the process chambers ( 4 ) are arranged in a common plane. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, gekennzeichnet durch einen von der Außenwandung (11) des Gasauslasskanales (9) in der Prozessstellung verschlossenen Spaltzwischenraum (17) zwischen den einzelnen Prozesskammern.Device according to one or more of the preceding claims or in particular according thereto, characterized by one of the outer wall ( 11 ) of the gas outlet channel ( 9 ) in the process position closed gap gap ( 17 ) between the individual process chambers. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, gekennzeichnet durch einen in der Prozessstellung im Spaltzwischenraum (17) angeordneten Arm (15) eines Indexers.Device according to one or more of the preceding claims or in particular according thereto, characterized by a in the process position in the gap gap ( 17 ) arranged arm ( 15 ) of an indexer. Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, gekennzeichnet durch Öffnungen (28) im Substrathalter (7) zum Durchtritt jeweils eines Stützstiftes (20) zum Abstützen des Substrates (8) in einer Ent-/Beladestellung in einem Vertikalabstand oberhalb des abgesenkten Substrathalters (7).Device according to one or more of the preceding claims or in particular according thereto, characterized by openings ( 28 ) in the substrate holder ( 7 ) for the passage of each support pin ( 20 ) for supporting the substrate ( 8th ) in a loading / unloading position at a vertical distance above the lowered substrate holder ( 7 ). Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die einzelnen Prozesskammern (4) in einer Ent-/Beladestellung miteinander in Vertikalrichtung kommunizieren und in einer Prozessstellung mittelst Diffusionsbarrieren voneinander getrennt sind.Device according to one or more of the preceding claims or in particular according thereto, characterized in that the individual process chambers ( 4 ) communicate with each other in the vertical direction in a loading / unloading position and are separated from one another in a process position by means of diffusion barriers.
DE200510056323 2005-11-25 2005-11-25 Device for simultaneously depositing layers on a number of substrates comprises process chambers arranged in a modular manner in a reactor housing Withdrawn DE102005056323A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE200510056323 DE102005056323A1 (en) 2005-11-25 2005-11-25 Device for simultaneously depositing layers on a number of substrates comprises process chambers arranged in a modular manner in a reactor housing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE200510056323 DE102005056323A1 (en) 2005-11-25 2005-11-25 Device for simultaneously depositing layers on a number of substrates comprises process chambers arranged in a modular manner in a reactor housing

Publications (1)

Publication Number Publication Date
DE102005056323A1 true DE102005056323A1 (en) 2007-05-31

Family

ID=38037664

Family Applications (1)

Application Number Title Priority Date Filing Date
DE200510056323 Withdrawn DE102005056323A1 (en) 2005-11-25 2005-11-25 Device for simultaneously depositing layers on a number of substrates comprises process chambers arranged in a modular manner in a reactor housing

Country Status (1)

Country Link
DE (1) DE102005056323A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010016471A1 (en) 2010-04-16 2011-10-20 Aixtron Ag Apparatus and method for simultaneously depositing multiple semiconductor layers in multiple process chambers
DE102010016477A1 (en) * 2010-04-16 2011-10-20 Aixtron Ag A thermal treatment method comprising a heating step, a treatment step and a cooling step
US20120024479A1 (en) * 2010-07-30 2012-02-02 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
DE102016101003A1 (en) 2016-01-21 2017-07-27 Aixtron Se CVD apparatus with a process chamber housing which can be removed from the reactor housing as an assembly

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0272141A2 (en) * 1986-12-19 1988-06-22 Applied Materials, Inc. Multiple chamber integrated process system
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5183547A (en) * 1989-09-13 1993-02-02 Sony Corporation Sputtering apparatus and system for sputtering employing same
EP0773308A1 (en) * 1995-11-07 1997-05-14 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
DE19626861A1 (en) * 1996-07-04 1998-01-08 Leybold Systems Gmbh Vacuum treatment system for applying thin layers on substrates, for example on headlight reflectors
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
EP1029942A1 (en) * 1998-08-19 2000-08-23 Shibaura Mechatronics Corporation Drive mechanism for vacuum device and vacuum device
US20010012604A1 (en) * 1998-10-12 2001-08-09 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US20020034862A1 (en) * 2000-09-19 2002-03-21 Hitachi Kokusai Electric, Inc. Method and apparatus for use in manufacturing a semiconductor device
DE10118130A1 (en) * 2001-04-11 2002-10-17 Aixtron Ag Device for depositing crystalline layers on crystalline substrates in the gas phase comprises a heated reaction chamber with substrate holders arranged in a circular manner on a support, heated sources, and a hydride feed line
DE69710961T2 (en) * 1996-07-12 2002-10-31 Applied Materials Inc Components peripheral to the base by gas flow within a chamber for chemical vapor deposition
US6488824B1 (en) * 1998-11-06 2002-12-03 Raycom Technologies, Inc. Sputtering apparatus and process for high rate coatings
US20030194493A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Multi-station deposition apparatus and method
US20050034664A1 (en) * 2001-11-08 2005-02-17 Koh Won Yong Apparatus for depositing

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0272141A2 (en) * 1986-12-19 1988-06-22 Applied Materials, Inc. Multiple chamber integrated process system
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5183547A (en) * 1989-09-13 1993-02-02 Sony Corporation Sputtering apparatus and system for sputtering employing same
EP0773308A1 (en) * 1995-11-07 1997-05-14 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
DE19626861A1 (en) * 1996-07-04 1998-01-08 Leybold Systems Gmbh Vacuum treatment system for applying thin layers on substrates, for example on headlight reflectors
DE69710961T2 (en) * 1996-07-12 2002-10-31 Applied Materials Inc Components peripheral to the base by gas flow within a chamber for chemical vapor deposition
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
EP1029942A1 (en) * 1998-08-19 2000-08-23 Shibaura Mechatronics Corporation Drive mechanism for vacuum device and vacuum device
US20010012604A1 (en) * 1998-10-12 2001-08-09 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6488824B1 (en) * 1998-11-06 2002-12-03 Raycom Technologies, Inc. Sputtering apparatus and process for high rate coatings
US20020034862A1 (en) * 2000-09-19 2002-03-21 Hitachi Kokusai Electric, Inc. Method and apparatus for use in manufacturing a semiconductor device
DE10118130A1 (en) * 2001-04-11 2002-10-17 Aixtron Ag Device for depositing crystalline layers on crystalline substrates in the gas phase comprises a heated reaction chamber with substrate holders arranged in a circular manner on a support, heated sources, and a hydride feed line
US20050034664A1 (en) * 2001-11-08 2005-02-17 Koh Won Yong Apparatus for depositing
US20030194493A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Multi-station deposition apparatus and method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010016471A1 (en) 2010-04-16 2011-10-20 Aixtron Ag Apparatus and method for simultaneously depositing multiple semiconductor layers in multiple process chambers
WO2011128226A1 (en) 2010-04-16 2011-10-20 Aixtron Se Device and method for simultaneously precipitating a plurality of semiconductor layers in a plurality of process chambers
DE102010016477A1 (en) * 2010-04-16 2011-10-20 Aixtron Ag A thermal treatment method comprising a heating step, a treatment step and a cooling step
US20120024479A1 (en) * 2010-07-30 2012-02-02 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
DE102016101003A1 (en) 2016-01-21 2017-07-27 Aixtron Se CVD apparatus with a process chamber housing which can be removed from the reactor housing as an assembly

Similar Documents

Publication Publication Date Title
EP1948845B1 (en) Cvd reactor with slidingly mounted susceptor holder
EP1951931B1 (en) Method for depositing layers in a cvd reactor and gas inlet element for a cvd reactor
DE4241932C2 (en) Method and device for controlling the gas flow in CVD processes
EP0354294B1 (en) Carousel-type apparatus for coating substrates
EP1774057B1 (en) Device and method for high-throughput chemical vapor deposition
EP0312694B1 (en) Apparatus according to the principle of carousel for depositing substrates
DE102006018515A1 (en) CVD reactor with lowerable process chamber ceiling
DE112014001586B4 (en) Device for processing two or more substrates in a batch process
DE102005056324A1 (en) CVD reactor with exchangeable process chamber ceiling
DE69927975T2 (en) Vial and multi-vessel rotating synthesizer
DE4009603A1 (en) Lock chamber for substrate
DE102005056320A1 (en) CVD reactor with a gas inlet member
DE69937483T2 (en) VACUUM DEVICE
DE102012106796A1 (en) Device useful for thermal treatment of a semiconductor substrate, comprises susceptor, which forms the base of a process chamber and comprises substrate support base, substrate support ring and heat source
DE102005056323A1 (en) Device for simultaneously depositing layers on a number of substrates comprises process chambers arranged in a modular manner in a reactor housing
DE10055182A1 (en) CVD reactor with substrate holder rotatably supported and driven by a gas stream
DE1963207B2 (en) DEVICE FOR EPITACTIC DEPOSITION OF SEMICONDUCTOR MATERIAL ON A SUBSTRAT
DE102011007735A1 (en) System useful for gas treatment of at least one substrate, comprises reaction chamber, substrate support structure for holding one substrate arranged in reaction chamber, static gas injector, and at least one movable gas injector
EP4069882B1 (en) Gas inlet device for a cvd reactor
DE102015107315A1 (en) Method and device for cleaning a gas inlet element
DE19522574A1 (en) Reactor for coating flat substrates
DE19906398A1 (en) Method and device for treating substrates
DE102012104475A1 (en) Device useful for depositing layer on substrate comprises processing chamber having susceptor heated by heating device for receiving substrate, gas inlet element, gas outlet element and gas-tight reactor housing which is outwardly arranged
EP1524215B1 (en) Vacuum processing chamber for planar rectangular in particular square substrate
DE19831032A1 (en) Base module as central transport module for work centers of cluster type for mfr. of microsystems and components on wafers etc.

Legal Events

Date Code Title Description
OM8 Search report available as to paragraph 43 lit. 1 sentence 1 patent law
8127 New person/name/address of the applicant

Owner name: AIXTRON AG, 52134 HERZOGENRATH, DE

R082 Change of representative

Representative=s name: RIEDER & PARTNER PATENTANWAELTE - RECHTSANWALT, DE

Representative=s name: RIEDER & PARTNER PATENTANWAELTE - RECHTSANWALT, 42

R081 Change of applicant/patentee

Owner name: AIXTRON SE, DE

Free format text: FORMER OWNER: AIXTRON AG, 52134 HERZOGENRATH, DE

Effective date: 20111104

R082 Change of representative

Representative=s name: RIEDER & PARTNER PATENTANWAELTE - RECHTSANWALT, DE

Effective date: 20111104

Representative=s name: RIEDER & PARTNER MBB PATENTANWAELTE - RECHTSAN, DE

Effective date: 20111104

R012 Request for examination validly filed

Effective date: 20121102

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee