DE102004040943B4 - Method for the selective deposition of a layer by means of an ALD method - Google Patents

Method for the selective deposition of a layer by means of an ALD method Download PDF

Info

Publication number
DE102004040943B4
DE102004040943B4 DE102004040943A DE102004040943A DE102004040943B4 DE 102004040943 B4 DE102004040943 B4 DE 102004040943B4 DE 102004040943 A DE102004040943 A DE 102004040943A DE 102004040943 A DE102004040943 A DE 102004040943A DE 102004040943 B4 DE102004040943 B4 DE 102004040943B4
Authority
DE
Germany
Prior art keywords
surface area
layer
deposition
ald
different
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102004040943A
Other languages
German (de)
Other versions
DE102004040943A1 (en
Inventor
Harald Seidl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Qimonda AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qimonda AG filed Critical Qimonda AG
Priority to DE102004040943A priority Critical patent/DE102004040943B4/en
Publication of DE102004040943A1 publication Critical patent/DE102004040943A1/en
Application granted granted Critical
Publication of DE102004040943B4 publication Critical patent/DE102004040943B4/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Abstract

Verfahren zur selektiven Abscheidung einer Schicht (4) mittels eines ALD-Verfahrens auf einer Oberfläche (OF) eines Halbleitersubstrats (1) mit einem ersten Oberflächenbereich (2) und einem zweiten Oberflächenbereich (3) mit den Schritten:
(a) der erste Oberflächenbereich (2) und der zweite Oberflächenbereich (3) werden unterschiedlich zu einem jeweiligen Ausgangszustand konditioniert;
(b) auf dem ersten Oberflächenbereich (2) wird durch das ALD-Verfahren in einem oder mehreren ALD-Zyklen die Schicht (4) selektiv aufgebracht, wobei die Schicht (4) aus einem Metalloxid oder einer Mischung verschiedener Metalloxide besteht und das Wachstum während der ALD-Abscheidung auf dem ersten Oberflächenbereich (2) und dem zweiten Oberflächenbereich (3) durch die Ausnutzung unterschiedlicher Inkubationszeiten unterschiedlich stark voranschreitet, wobei der Oberflächenbereich (3), auf dem keine Abscheidung erwünscht ist, in seinen Ausgangszustand vor Beginn der Abscheidung versetzt wird, sobald das Wachstum auf beiden Oberflächenbereichen einen annähernd gleichen Wert erreicht hat, womit sichergestellt wird, dass nach wie vor unterschiedliche Abscheideraten zwischen den unterschiedlichen...
Method for the selective deposition of a layer (4) by means of an ALD method on a surface (OF) of a semiconductor substrate (1) having a first surface area (2) and a second surface area (3) with the steps:
(a) the first surface area (2) and the second surface area (3) are conditioned differently from a respective initial state;
(b) on the first surface area (2) the layer (4) is selectively deposited by the ALD process in one or more ALD cycles, wherein the layer (4) consists of a metal oxide or a mixture of different metal oxides and the growth during the ALD deposition on the first surface area (2) and the second surface area (3) progresses differently by utilizing different incubation times, the surface area (3) on which no deposition is desired being set to its initial state prior to deposition As soon as the growth on both surface areas has reached an approximately equal value, it is ensured that still different deposition rates between the different ...

Figure 00000001
Figure 00000001

Description

Die vorliegende Erfindung betrifft ein Verfahren zur selektiven Abscheidung einer Schicht mittels eines Atomic Lager Deposition (ALD, Atomschichtabscheidung) Verfahrens.The The present invention relates to a method for selective deposition a layer by means of an atomic bearing deposition (ALD, atomic layer deposition) Process.

Für die Integration von neuen Materialien, z. B. den sogenannten High-k-Dielektrika, ist es von Vorteil, wenn man diese Schichten selektiv abscheiden kann. Dies ist bisher nicht möglich.For the integration of new materials, eg. As the so-called high-k dielectrics, it is advantageous to selectively deposit these layers can. This is not possible so far.

High-k-Dielektrika werden zur Zeit bevorzugt mittels PVD-, CVD- und ALD-Verfahren abgeschieden. Bei diesen Verfahren ergeben sich zwar leicht unterschiedliche Abscheideraten und Inkubationszeiten auf unterschiedlichen Oberflächen, eine selektive Abscheidung nur auf ein bestimmtes Substrat ist aber mit den bekannten Verfahren nicht möglich.High-k dielectrics are currently preferably deposited by means of PVD, CVD and ALD methods. Although slightly different deposition rates result in these processes and incubation times on different surfaces, one However, selective deposition only on a specific substrate is involved the known method not possible.

Die US-Patentschrift US 6 391 785 B1 offenbart Verfahren zum selektiven Abscheiden auf gewünschten Materialien. Insbesondere werden Grenzschichtmaterialien selektiv auf Isolieroberflächen im Vergleich zu leitenden Oberflächen abgeschieden.The US patent US Pat. No. 6,391,785 B1 discloses methods for selectively depositing on desired materials. In particular, barrier layer materials are selectively deposited on insulating surfaces as compared to conductive surfaces.

Ein Verfahren zum selektiven Abscheiden auf einer silizierten Metalldiffusionsgrenzschicht in einer Halbleiterstruktur wird in der US-Patentschrift US 6 576 543 B2 offenbart.A method for selectively depositing on a siliconized metal diffusion barrier in a semiconductor structure is disclosed in US Pat US Pat. No. 6,576,543 B2 disclosed.

Die US-Patentschrift US 5 543 356 A offenbart ein Verfahren zum Dotieren von Unreinheiten in einem Halbleiter, das die Bestrahlung mit Energiestrahlen, wie zum Beispiel Excimer-Laserstahlen oder UV-Strahlen, auf einen vorbestimmten Bereich einer wasserstoffterminierten Siliziumoberfläche umfasst, um Wasserstoffatomschichten, die die Silizium oberfläche terminierten, zu entfernen, um dadurch eine strukturierte Siliziumoberfläche zu erzeugen, die nicht mit Wasserstoff terminiert ist. Auf diese nicht wasserstoffterminierten Siliziumoberflächenbereiche werden selektiv Verunreinigungen adsorbiert, um eine Verunreinigungsdotierung durchzuführen.The US patent US 5 543 356 A discloses a method for doping impurities in a semiconductor which comprises irradiating energy rays, such as excimer laser beams or UV rays, to a predetermined area of a hydrogen-terminated silicon surface to remove hydrogen atom layers terminating the silicon surface thereby creating a structured silicon surface that is not terminated with hydrogen. On these non-hydrogen-terminated silicon surface regions, impurities are selectively adsorbed to carry out impurity doping.

Ein Verfahren unter Verwendung von elektronenstrahlinduzierter, stimulierter Desorption, um Strukturen im Nanometerbereich auf Oberflächen zu erzeugen, wird in der US-Patentschrift US 5 352 330 A offenbart. Auf den durch den Elektronenstrahl passivierten Bereichen kann die Adsorbtion von anderen Atomen und/oder Molekülen kontrolliert erreicht werden.A method using electron beam induced stimulated desorption to produce nanometer scale structures on surfaces is disclosed in US Pat US 5,352,330 disclosed. On the areas passivated by the electron beam the adsorption of other atoms and / or molecules can be controlled.

Es ist Aufgabe der vorliegenden Erfindung, ein Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens auf nur bestimmte Substratbereiche zu schaffen.It Object of the present invention, a method for selective Deposition of a layer by means of an ALD method on only certain substrate areas to accomplish.

Erfindungsgemäß wird diese Aufgabe durch das in Anspruch 1 angegebene Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens gelöst.According to the invention this Object by the method specified in claim 1 for selective Deposition of a layer solved by means of an ALD method.

Die der vorliegenden Erfindung zugrundeliegende Idee besteht darin, unterschiedliche Inkubationszeiten bei einer ALD-Abscheidung auf unterschiedlichen Substratoberflächen auszunutzen und das ALD-Verfahren derart zu modifizieren, dass eine selektive Abscheidung einer Schicht möglich ist.The The idea underlying the present invention is that exploit different incubation times in an ALD deposition on different substrate surfaces and to modify the ALD method such that a selective Deposition of a layer possible is.

In der vorliegenden Erfindung wird das eingangs erwähnte Problem dadurch gelöst, dass auf unterschiedlichen Substraten das Wachstum während einer ALD-Abscheidung unterschiedlich stark voranschreitet. Der Bereich, auf dem eine Abscheidung erwünscht ist, soll dabei ein stärkeres Wachstum aufweisen, als der Bereich, auf dem keine Abscheidung erwünscht ist. Hierfür werden unterschiedliche Inkubationszeiten ausgenutzt. Sobald das Wachstum auf beiden Bereichen einen annähernd gleichen Wert erreicht hat, wird der Bereich, auf dem keine Abscheidung erwünscht ist, in seinen Ausgangszustand vor Beginn der Abscheidung versetzt. Somit wird sichergestellt, dass nach wie vor unterschiedliche Abscheideraten zwischen unterschiedlichen Bereichen vorliegen.In According to the present invention, the problem mentioned in the introduction is solved by growth on different substrates during ALD deposition varies greatly. The area on which a Deposition desired is supposed to be a stronger one Growth than the area where no deposition is desired. Therefor Different incubation times are used. Once the growth in both areas an approximately equal Value has reached, the area where no deposition is desired, offset to its initial state before the deposition. Consequently ensures that there are still different retention rates between different areas.

In den Unteransprüchen finden sich vorteilhafte Weiterbildungen und Verbesserungen des jeweiligen Erfindungsgegenstandes.In the dependent claims find advantageous developments and improvements of respective subject of the invention.

Gemäß einer bevorzugten Weiterbildung wird die abgeschiedene Schicht auf dem ersten Oberflächenbereich nach der Auftragung durch ein entsprechendes Verfahren, insbesondere einen Anneal-Prozess, gehärtet. Dadurch wird eine Konditionierung des zweiten Oberflächenbereiches, auf dem keine Abscheidung erwünscht ist, entsprechend dem Ausgangszustand vor Beginn der Abscheidung erleichtert.According to one preferred development is the deposited layer on the first surface area after application by a corresponding method, in particular an annealing process, hardened. This will cause a conditioning of the second surface area, on the no deposition desired is, according to the initial state before the beginning of the deposition facilitated.

Gemäß einer weiteren bevorzugten Weiterbildung werden die oben genannten Schritte in einem Zyklus gefahren: Nach einer anfänglichen Konditionierung einer Oberfläche mit zwei unterschiedlichen Oberflächenbereichen wird mittels eines ALD-Verfahrens selektiv auf dem ersten Oberflächenbereich eine Schicht abgeschieden. Diese Schicht kann durch ein entsprechendes Verfahren, insbesondere einem Anneal-Prozess, gehärtet werden. Anschließend kann eine Konditionierung des zweiten Oberflächenbereiches entsprechend dem Ausgangszustand durchgeführt werden. Diese Schritte können ein- bis mehrmals wiederholt werden, um eine gewünschte Schichtdicke über dem ersten Oberflächenbereich zu erreichen.According to one Another preferred development will be the above steps in one cycle: after an initial conditioning of a surface with two different surface areas is by means of an ALD method selectively on the first surface area a Layer deposited. This layer can be replaced by a corresponding Process, in particular an annealing process to be cured. Subsequently can be a conditioning of the second surface area accordingly performed the initial state become. These steps can be repeated one or more times to a desired layer thickness above the first surface area to reach.

Gemäß einer weiteren bevorzugten Weiterbildung beträgt die Anzahl der ALD-Zyklen 4 bis 20 vorzugsweise 10 während einer Abscheidung. Durch die Wahl der ALD-Zyklen kann der Abscheidevorgang rechtzeitig vor Ausbildung einer durchgängigen Schicht auf dem zweiten Oberflächenbereich gestoppt werden.According to a further preferred development, the number of ALD cycles is 4 to 20 preferably 10 during a deposition. By choosing the ALD cycles, the deposition process can be stopped in good time before the formation of a continuous layer on the second surface area.

Gemäß einer weiteren bevorzugten Weiterbildung besteht das Halbleitersubstrat, auf dem die Abscheidung stattfindet, aus Silizium.According to one Another preferred development is the semiconductor substrate, on which the deposition takes place, made of silicon.

Gemäß einer weiteren bevorzugten Weiterbildung wird der erste Oberflächenbereich SiO2-terminiert und der zweite Oberflächenbereich Wasserstoff-terminiert. Die unterschiedlichen Abscheideraten bzw. Inkubationszeiten ermöglichen hier besonders gut eine selektive Abscheidung.According to a further preferred development, the first surface area SiO 2 -terminated and the second surface area is hydrogen-terminated. The different deposition rates or incubation times allow a particularly good selective separation.

Gemäß einer weiteren bevorzugten Weiterbildung wird die Wasserstoff-terminierte Oberfläche des zweiten Oberflächenbereichs durch einen HF-Dampfpuls wiederhergestellt.According to one Another preferred embodiment is the hydrogen-terminated Surface of the second surface area restored by an RF vapor pulse.

Gemäß einer weiteren bevorzugten Weiterbildung besteht die Schicht, die während des ALD-Verfahrens aufgetragen wird, aus Hafniumdioxid (HfO2).According to a further preferred development, the layer which is applied during the ALD process consists of hafnium dioxide (HfO 2 ).

Ein Ausführungsbeispiel der Erfindung ist in den Zeichnungen dargestellt und in der nachfolgenden Beschreibung näher erläutert.One embodiment The invention is illustrated in the drawings and in the following Description closer explained.

Es zeigen:It demonstrate:

1 ein Halbleitersubstrat 1 mit zwei unterschiedlichen Oberflächenbereichen 2 und 3 gemäß einem ersten Ausführungsbeispiel der vorliegenden Erfindung; 1 a semiconductor substrate 1 with two different surface areas 2 and 3 according to a first embodiment of the present invention;

2 die unterschiedlichen Oberflächenbereiche in 1 zu unterschiedlichen Zeiten während der Abscheidung; 2 the different surface areas in 1 at different times during deposition;

3 Illustration unterschiedlicher Abscheideraten auf unterschiedlichen Oberflächen beim ALD-Verfahren; und 3 Illustration of different deposition rates on different surfaces in the ALD process; and

4a–f ein Halbleitersubstrat 1 mit zwei unterschiedlichen Oberflächenbereichen 2 und 3 gemäß einem zweiten Ausführungsbeispiel der vorliegenden Erfindung. 4a -F a semiconductor substrate 1 with two different surface areas 2 and 3 according to a second embodiment of the present invention.

In den Figuren bezeichnen gleiche Bezugszeichen gleiche oder funktionsgleiche Bestandteile.In the same reference numerals designate the same or functionally identical Ingredients.

1 zeigt ein erstes Ausführungsbeispiel der vorliegenden Erfindung. 1 shows a first embodiment of the present invention.

In 1 bezeichnet Bezugszeichen 1 ein Halbleitersubstrat, in diesem Fall Silizium, mit zwei unterschiedlichen Oberflächenbereichen 2, 3 der Oberfläche OF. Der erste Oberflächenbereich 2 ist SiO2-terminiert, angedeutet durch eine Moleküldarstellung in dem vergrößerten Bereich. Dargestellt ist insbesondere eine SiO2-Schicht 6 mit an der Oberfläche anhaftenden OH-Gruppen.In 1 denotes reference numeral 1 a semiconductor substrate, in this case silicon, with two different surface areas 2 . 3 the surface OF. The first surface area 2 is SiO 2 -terminated, indicated by a molecular representation in the enlarged region. Shown is in particular a SiO 2 layer 6 with surface-adherent OH groups.

Der zweite Oberflächenbereich 3 ist Wasserstoff-terminiert. Dies wird ebenfalls durch eine Moleküldarstellung in dem vergrößerten Bereich illustriert. 1 stellt somit eine Ausgangssituation vor Beginn eines ersten selektiven Abscheidevorgangs dar.The second surface area 3 is hydrogen-terminated. This is also illustrated by a molecular representation in the enlarged area. 1 thus represents an initial situation before the start of a first selective deposition process.

2 zeigt die beiden unterschiedlich konditionierten Oberflächenbereiche 2, 3 zu unterschiedlichen Zeiten während der selektiven ALD-Abscheidung (ALD = Atomic Layer Deposition) von HfO2. 2 shows the two differently conditioned surface areas 2 . 3 at different times during the selective deposition of ALD (ALD = Atomic Layer Deposition) of HfO 2 .

2a zeigt das Halbleitersubstrat 1 mit dem ersten Oberflächenbereich 2 und dem zweiten Oberflächenbereich 3, wobei auf dem ersten Oberflächenbereich 2 in 10 ALD-Zyklen eine durchgängige Schicht 4 aus HfO2 abgeschieden ist. Durch das aufgrund der unterschiedlichen Konditionierung verminderte Wachstum auf dem zweiten Oberflächenbereich 3 sind hier lediglich kleine Inseln 5 aus dem Beschichtungsmaterial HfO2 abgeschieden. 2a shows the semiconductor substrate 1 with the first surface area 2 and the second surface area 3 , wherein on the first surface area 2 in 10 ALD cycles a continuous layer 4 is deposited from HfO 2 . Due to the reduced conditioning due to the different conditioning growth on the second surface area 3 here are only small islands 5 deposited from the coating material HfO 2 .

2b zeigt das Substrat 1 mit den beiden Oberflächenbereichen 2 und 3, wobei nur noch auf dem ersten Oberflächenbereich 2 die Schicht 4 vorhanden ist, wohingegen der zweite Oberflächenbereich 3 durch eine Behandlung mit HF-Dampfpuls in den Ausgangszustand vor Beginn der Abscheidung gemäss 1 versetzt wurde. Der HF-Dampfpuls bewirkt dabei vorteilhafterweise sowohl eine Entfernung der kleinen Inseln 5 aus dem Beschichtungsmaterial HfO2 als auch eine Wasserstoffterminierung. 2 B shows the substrate 1 with the two surface areas 2 and 3 , leaving only on the first surface area 2 the layer 4 is present, whereas the second surface area 3 by treatment with HF vapor pulse in the initial state before the deposition according to 1 was shifted. The HF vapor pulse advantageously causes both a removal of the small islands 5 from the coating material HfO 2 as well as a hydrogen termination.

Anschließend an den Zustand gemäß 2b kann erneut die Abscheidung gemäss 2a erfolgen, dann eine erneute Rekonditionierung, dann eine erneute Abscheidung usw.Following the condition according to 2 B can again the deposition according to 2a followed by a new reconditioning, then a re-deposition etc.

3 zeigt die Illustration unterschiedlicher Abscheideraten auf unterschiedlichen Oberflächen. 3 shows the illustration of different deposition rates on different surfaces.

In der 3 ist in einem Graphen die Anzahl der HfO2-ALD-Zyklen gegen die Hf-Bedeckung in Hf/cm2 aufgetragen. Graph 1 zeigt das Wachstum auf dem zweiten Oberflächenbereich 3, der Wasserstoff-terminierten Siliziumoberfläche, und Graph 2 zeigt das Wachstum auf dem ersten Oberflächenbereich 2, der SiO2-terminierten Siliziumoberfläche.In the 3 In a graph, the number of HfO 2 -ALD cycles is plotted against Hf coverage in Hf / cm 2 . Graph 1 shows growth on the second surface area 3 , the hydrogen-terminated silicon surface, and Graph 2 shows the growth on the first surface area 2 , the SiO 2 -terminated silicon surface.

Die Graphen 3 bis 5 zeigen das Wachstum auf Oberflächen die mit unterschiedlichen Rapid Thermal Oxidation (RTO) Prozessen in sauerstoffhaltiger Atmosphäre behandelt wurden, nämlich Graph 3 für RTO-SiO2, Graph 4 für RTO-SiO-N und Graph 5 für RTO-Si-O-N bei anfänglichen H2O-Pulsen.Graphs 3 through 5 show growth on surfaces treated with different Rapid Thermal Oxidation (RTO) processes in an oxygen-containing atmosphere, namely, Graph 3 for RTO-SiO 2 , Graph 4 for RTO-SiO-N, and Graph 5 for RTO-Si -ON at initial H 2 O pulses.

Besonders deutlich ist der Unterschied im Schichtwachstum zwischen Graph 1 und Graph 2 zu erkennen. Durch diesen starken Unterschied ist es möglich, selektiv auf dem ersten Oberflächenbereich 2 eine dünne Schicht abzuscheiden und auf dem zweiten Oberflächenbereich 3 nur die kleinen leicht entfernbaren Inseln 5.The difference in the layer growth between Graph 1 and Graph 2 can be seen particularly clearly. Due to this strong difference, it is possible to selectively on the first surface area 2 to deposit a thin layer and on the second surface area 3 only the small easily removable islands 5 ,

4a–f zeigen ein Halbleitersubstrat 1 mit zwei unterschiedlichen Oberflächenbereichen 2 und 3 gemäß einem zweiten Ausführungsbeispiel der vorliegenden Erfindung. 4a Show a semiconductor substrate 1 with two different surface areas 2 and 3 according to a second embodiment of the present invention.

In 4a bezeichnet Bezugszeichen 1 wiederum ein Silizium-Halbleitersubstrat. Im Silizium-Halbleitersubstrat 1 sind durch einen an sich bekannten Prozess STI-Gräben 10 (STI = shallow trench isolation) gebildet. Über der gesamten Oberfläche OF des Substrats 1 wird anschließend eine dünne SIO2-Schicht 6 abgeschieden.In 4a denotes reference numeral 1 in turn, a silicon semiconductor substrate. In the silicon semiconductor substrate 1 are by a known process STI trenches 10 (STI = shallow trench isolation) formed. Over the entire surface OF of the substrate 1 then becomes a thin SIO 2 layer 6 deposited.

Weiter mit Bezug auf 4b wird über dem ersten Oberflächenbereich 2 eine Photolackmaske 7 gebildet und anschließend die SIO2-Schicht 6 im zweiten Oberflächenbereich 3 durch einen Ätzprozess mit HF, das auch zur Konditionierung dient, entfernt.Continue with reference to 4b will be above the first surface area 2 a photoresist mask 7 formed and then the SIO 2 layer 6 in the second surface area 3 removed by an etching process with HF, which also serves for conditioning.

In einem darauffolgenden Prozessschritt, der in 4c gezeigt ist, wird die Photolackmaske 7 im ersten Oberflächenbereich 2 entfernt.In a subsequent process step, the in 4c is shown, the photoresist mask 7 in the first surface area 2 away.

Anschließend erfolgt gemäß 4d eine selektive Abscheidung einer HfO2-Schicht 4 über dem ersten Oberflächenbereich 2, wie in 2a, 2b beschrieben. Insbesondere wird nach 10 ALD-Zyklen ein Rekonditionierungsprozess im zweiten Oberflächenbereich ausgeführt. Zum Erreichen einer Dicke von 1 nm HfO2 werden die Abscheidungssequenz und der Rekonditionierungsschritt einmal wiederholt. Insgesamt werden also 20 ALD-Zyklen und zwei Rekonditionierungsschritte mit einem HF-Dampfpuls durchgeführt.Subsequently, according to 4d a selective deposition of a HfO 2 layer 4 above the first surface area 2 , as in 2a . 2 B described. In particular, a reconditioning process in the second surface area is performed after 10 ALD cycles. To achieve a thickness of 1 nm HfO 2 , the deposition sequence and the reconditioning step are repeated once. In total, therefore, 20 ALD cycles and two reconditioning steps are performed with one RF vapor pulse.

Im nächsten Prozessschritt, der in 4e illustriert ist, wird dann eine weitere SiO2-Schicht thermisch im zweiten Oberflächenbereich 3 aufgewachsen, welche dünner ist als die erste SIO2-Schicht 6. Die SIO2-Schicht 6 unter der HfO2-Schicht 4 wächst bei dem thermischen Oxidationsprozess langsamer als im zweiten Oberflächenbereich 3, da der Prozess diffusions-limitiert ist.In the next process step, the in 4e is illustrated, then another SiO 2 layer is thermally in the second surface area 3 grown, which is thinner than the first SIO 2 layer 6 , The SIO 2 layer 6 under the HfO 2 layer 4 grows slower in the thermal oxidation process than in the second surface region 3 because the process is diffusion-limited.

Am Ende des thermischen Oxidationsprozesses erhält man eine Oberfläche OF des Halbleitersubstrats 1, wobei im ersten Oberflächenbereich 2 ein dickes Dielektrikum, bestehend aus den Schichten 4, 6 und im zweiten Oberflächenbereich 3 ein dünnes Dielektrikum, bestehend aus der zweiten SiO2-Schicht 6' vorgesehen ist.At the end of the thermal oxidation process, a surface OF of the semiconductor substrate is obtained 1 , wherein in the first surface area 2 a thick dielectric consisting of the layers 4 . 6 and in the second surface area 3 a thin dielectric consisting of the second SiO 2 layer 6 ' is provided.

Eine derartige Konstellation benötigt man beispielsweise bei der Herstellung von Gate-Anordnungen mit unterschiedlich dickem Gate-Oxid. Dementsprechend ist im Prozesszustand gemäß 4f dargestellt, dass Gate-Anschlussbereiche 9 im ersten und zweiten Oberflächenbereich 2, 3 aufgebracht und strukturiert sind. Im Anschluss an diesen Prozesszustand lassen sich in bekannter Weise die Gate-Elektroden und die entsprechenden aktiven Bereiche im Substrat 1 fertigstellen.Such a constellation is needed, for example, in the manufacture of gate arrangements with different thickness gate oxide. Accordingly, in the process state according to 4f shown that gate connection areas 9 in the first and second surface area 2 . 3 applied and structured. Subsequent to this process state, the gate electrodes and the corresponding active regions in the substrate can be deposited in a known manner 1 complete.

Obwohl die vorliegende Erfindung vorstehend anhand bevorzugter Ausführungsbeispiele beschrieben wurde, ist sie darauf nicht beschränkt, sondern auf vielfältige Art und Weise modifizierbar.Even though the present invention above based on preferred embodiments It is not limited to this, but in many ways and modifiable.

Auch ist die Erfindung nicht auf die genannten Anwendungsmöglichkeiten beschränkt.Also the invention is not limited to the aforementioned applications limited.

Das Halbleitersubstrat ist nicht auf Silizium beschränkt, sondern kann auch Germanium o. ä. sein.The Semiconductor substrate is not limited to silicon, but can also germanium o. Ä. Be.

11
HalbleitersubstratSemiconductor substrate
22
erster Oberflächenbereichfirst surface area
33
zweiter Oberflächenbereichsecond surface area
44
Schichtlayer
55
Inseln aus BeschichtungsmaterialIslands from coating material
66
SiO2-SchichtSiO 2 layer
77
PhotolackmaskePhotoresist mask
99
GateanschlussbereicheGate areas
1010
STI-GräbenSTI trenches
OFOF
Oberflächesurface

Claims (11)

Verfahren zur selektiven Abscheidung einer Schicht (4) mittels eines ALD-Verfahrens auf einer Oberfläche (OF) eines Halbleitersubstrats (1) mit einem ersten Oberflächenbereich (2) und einem zweiten Oberflächenbereich (3) mit den Schritten: (a) der erste Oberflächenbereich (2) und der zweite Oberflächenbereich (3) werden unterschiedlich zu einem jeweiligen Ausgangszustand konditioniert; (b) auf dem ersten Oberflächenbereich (2) wird durch das ALD-Verfahren in einem oder mehreren ALD-Zyklen die Schicht (4) selektiv aufgebracht, wobei die Schicht (4) aus einem Metalloxid oder einer Mischung verschiedener Metalloxide besteht und das Wachstum während der ALD-Abscheidung auf dem ersten Oberflächenbereich (2) und dem zweiten Oberflächenbereich (3) durch die Ausnutzung unterschiedlicher Inkubationszeiten unterschiedlich stark voranschreitet, wobei der Oberflächenbereich (3), auf dem keine Abscheidung erwünscht ist, in seinen Ausgangszustand vor Beginn der Abscheidung versetzt wird, sobald das Wachstum auf beiden Oberflächenbereichen einen annähernd gleichen Wert erreicht hat, womit sichergestellt wird, dass nach wie vor unterschiedliche Abscheideraten zwischen den unterschiedlichen Oberflächenbereichen vorliegen.Method for the selective deposition of a layer ( 4 ) by means of an ALD method on a surface (OF) of a semiconductor substrate ( 1 ) having a first surface area ( 2 ) and a second surface area ( 3 ) comprising the steps of: (a) the first surface area ( 2 ) and the second surface area ( 3 ) are conditioned differently to a respective initial state; (b) on the first surface area ( 2 ), the ALD method in one or more ALD cycles, the layer ( 4 ), wherein the layer ( 4 ) consists of a metal oxide or a mixture of different metal oxides and the growth during the ALD deposition on the first surface area ( 2 ) and the second surface area ( 3 ) progresses to different degrees by exploiting different incubation times, the surface area ( 3 ), on which no deposition is desired, is added to its initial state before deposition begins, as soon as the growth on both surface regions has an approximately equal value which ensures that there are still different deposition rates between the different surface areas. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Schicht (4) auf dem ersten Oberflächenbereich (2) nach der Auftragung durch ein entsprechendes Verfahren, insbesondere einen Anneal-Prozess, in einem Schritt (c) gehärtet wird.Method according to claim 1, characterized in that the layer ( 4 ) on the first surface area ( 2 ) after application by a corresponding method, in particular an annealing process, in a step (c) is cured. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die Konditionierung des zweiten Oberflächenbereiches (3) entsprechend dem Ausgangszustand des zweiten Oberflächenbereiches (3) nach dem Schritt (c) in einem Schritt (d) wiederhergestellt wird.Method according to claim 1 or 2, characterized in that the conditioning of the second surface area ( 3 ) according to the initial state of the second surface area ( 3 ) is restored after step (c) in a step (d). Verfahren nach einem oder mehreren der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Schritte (b), optional (c) und/oder optional (d) ein- bis mehrmals wiederholt werden.Method according to one or more of the preceding Claims, characterized in that the steps (b), optionally (c) and / or optionally (d) repeated one or more times. Verfahren nach einem oder mehreren der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Anzahl der durchgeführten ALD-Zyklen im Schritt (b) im Bereich von 4 bis 20 liegt und vorzugsweise 10 beträgt.Method according to one or more of the preceding Claims, characterized in that the number of performed ALD cycles in step (b) is in the range of 4 to 20 and preferably 10 is. Verfahren nach einem oder mehreren der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Halbleitersubstrat (1) aus Silizium besteht.Method according to one or more of the preceding claims, characterized in that the semiconductor substrate ( 1 ) consists of silicon. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass der erste Oberflächenbereich (2) im Schritt (a) SiO2-terminiert wird.Method according to claim 6, characterized in that the first surface area ( 2 ) in step (a) SiO 2 -terminated. Verfahren nach Anspruch 6 oder 7, dadurch gekennzeichnet, dass der zweite Oberflächenbereich (3) im Schritt (a) wasserstoffterminiert wird.Method according to claim 6 or 7, characterized in that the second surface area ( 3 ) is hydrogen terminated in step (a). Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass der wasserstoffterminierte Siliziumoberflächenbereich durch einen HF-Dampf-Puls im Schritt (a) hergestellt bzw. optional im Schritt (d) wiederhergestellt wird.Method according to claim 8, characterized in that that the hydrogen-terminated silicon surface area by an RF vapor pulse produced in step (a) or optionally restored in step (d) becomes. Verfahren nach einem der Ansprüche 6–9, dadurch gekennzeichnet, dass die Schicht (4) aus HfO2 oder Al2O3 besteht.Method according to one of claims 6-9, characterized in that the layer ( 4 ) consists of HfO 2 or Al 2 O 3 . Verfahren nach einem der Ansprüche 6–9, dadurch gekennzeichnet, dass die Schicht (4) aus Hf-Al-O oder Hf-Si-O besteht.Method according to one of claims 6-9, characterized in that the layer ( 4 ) consists of Hf-Al-O or Hf-Si-O.
DE102004040943A 2004-08-24 2004-08-24 Method for the selective deposition of a layer by means of an ALD method Expired - Fee Related DE102004040943B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102004040943A DE102004040943B4 (en) 2004-08-24 2004-08-24 Method for the selective deposition of a layer by means of an ALD method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004040943A DE102004040943B4 (en) 2004-08-24 2004-08-24 Method for the selective deposition of a layer by means of an ALD method

Publications (2)

Publication Number Publication Date
DE102004040943A1 DE102004040943A1 (en) 2006-03-02
DE102004040943B4 true DE102004040943B4 (en) 2008-07-31

Family

ID=35745498

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004040943A Expired - Fee Related DE102004040943B4 (en) 2004-08-24 2004-08-24 Method for the selective deposition of a layer by means of an ALD method

Country Status (1)

Country Link
DE (1) DE102004040943B4 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007002962B3 (en) * 2007-01-19 2008-07-31 Qimonda Ag Method for producing a dielectric layer and for producing a capacitor
US7635634B2 (en) * 2007-04-16 2009-12-22 Infineon Technologies Ag Dielectric apparatus and associated methods
CN101883877A (en) * 2007-11-06 2010-11-10 Hcf合伙人股份两合公司 Atomic layer deposition process
CN110718647A (en) * 2019-09-25 2020-01-21 武汉华星光电半导体显示技术有限公司 Preparation method of thin film and preparation method of display device

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352330A (en) * 1992-09-30 1994-10-04 Texas Instruments Incorporated Process for producing nanometer-size structures on surfaces using electron beam induced chemistry through electron stimulated desorption
US5543356A (en) * 1993-11-10 1996-08-06 Hitachi, Ltd. Method of impurity doping into semiconductor
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6436777B1 (en) * 2000-10-19 2002-08-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6448127B1 (en) * 2000-01-14 2002-09-10 Advanced Micro Devices, Inc. Process for formation of ultra-thin base oxide in high k/oxide stack gate dielectrics of mosfets
US6576543B2 (en) * 2001-08-20 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively depositing diffusion barriers
US20040032001A1 (en) * 2002-08-15 2004-02-19 Gilmer David C. Method for forming a dual gate oxide device using a metal oxide and resulting device
US20040038538A1 (en) * 2002-08-23 2004-02-26 Tuo-Hung Ho Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
US6764546B2 (en) * 1999-09-08 2004-07-20 Asm International N.V. Apparatus and method for growth of a thin film

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352330A (en) * 1992-09-30 1994-10-04 Texas Instruments Incorporated Process for producing nanometer-size structures on surfaces using electron beam induced chemistry through electron stimulated desorption
US5543356A (en) * 1993-11-10 1996-08-06 Hitachi, Ltd. Method of impurity doping into semiconductor
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6764546B2 (en) * 1999-09-08 2004-07-20 Asm International N.V. Apparatus and method for growth of a thin film
US6448127B1 (en) * 2000-01-14 2002-09-10 Advanced Micro Devices, Inc. Process for formation of ultra-thin base oxide in high k/oxide stack gate dielectrics of mosfets
US6436777B1 (en) * 2000-10-19 2002-08-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6576543B2 (en) * 2001-08-20 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively depositing diffusion barriers
US20040032001A1 (en) * 2002-08-15 2004-02-19 Gilmer David C. Method for forming a dual gate oxide device using a metal oxide and resulting device
US20040038538A1 (en) * 2002-08-23 2004-02-26 Tuo-Hung Ho Dual-gate structure and method of fabricating integrated circuits having dual-gate structures

Also Published As

Publication number Publication date
DE102004040943A1 (en) 2006-03-02

Similar Documents

Publication Publication Date Title
DE19649445B4 (en) A method of forming a field oxide film in a semiconductor element
DE69628704T2 (en) Process for producing an oxide film on the surface of a semiconductor substrate
DE19654738B4 (en) Method of manufacturing a semiconductor device
DE60118817T2 (en) Integrated circuit with a dielectric layer composite and method
DE19646927C2 (en) Method of making a flat junction of a semiconductor device
DE10123858A1 (en) Process for forming silicon-containing thin layers by atomic layer deposition using SI2CL6 and NH3
EP0842532A1 (en) Process for generating a spacer in a structure
DE3311635A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE10219123B4 (en) Process for structuring ceramic layers on semiconductor substances with uneven topography
DE102008009086B3 (en) Integrated circuit manufacturing method, involves oxidizing metallic layer of transistor, and implementing temperature step for activating dopants before oxidization of metallic layer or simultaneously for oxidizing metallic layer
DE2231891A1 (en) METHOD FOR MANUFACTURING AMORPHERIC SEMICONDUCTOR FILMS
DE102004040943B4 (en) Method for the selective deposition of a layer by means of an ALD method
DE10335102B4 (en) A method of making an epitaxial layer for elevated drain and source regions by removing contaminants
DE1901819B2 (en) Manufacturing process for polycrystalline silicon layers
DE10130936B4 (en) Manufacturing process for a semiconductor device using atomic layer deposition / ALD
WO1999063582A2 (en) Method for producing semiconductor elements
DE19840236A1 (en) Tungsten silicide film formation on single crystal silicon or polysilicon in semiconductor device production
DE3128629A1 (en) RESET PROCESS FOR INTEGRATED CIRCUITS
DE10304851A1 (en) etching
DE10114956C2 (en) Method for producing a dielectric layer as an insulator layer for a trench capacitor
DE10303413B3 (en) Production of structured ceramic layers on surfaces of relief arranged vertically to substrate surface comprises preparing semiconductor substrate with relief on its surface, filling the relief with lacquer and further processing
DE102006053930B4 (en) Manufacturing method for a transistor gate structure
EP1394848A2 (en) Etch process and etch stop layer for manufacturing of semiconductor wafers
DE10306310A1 (en) Dual gate oxide process without critical resist and without N¶2¶ implantation
DE2226264A1 (en) Two-stage etching process

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

8364 No opposition during term of opposition
R081 Change of applicant/patentee

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: INFINEON TECHNOLOGIES AG, 85579 NEUBIBERG, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee