DE102004029077B4 - Apparatus and method for removing a photoresist from a substrate - Google Patents

Apparatus and method for removing a photoresist from a substrate Download PDF

Info

Publication number
DE102004029077B4
DE102004029077B4 DE102004029077A DE102004029077A DE102004029077B4 DE 102004029077 B4 DE102004029077 B4 DE 102004029077B4 DE 102004029077 A DE102004029077 A DE 102004029077A DE 102004029077 A DE102004029077 A DE 102004029077A DE 102004029077 B4 DE102004029077 B4 DE 102004029077B4
Authority
DE
Germany
Prior art keywords
reactant
photoresist
ozone
carbon dioxide
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102004029077A
Other languages
German (de)
Other versions
DE102004029077A1 (en
Inventor
Dong-Gyun Han
Sang-Jun Choi
Hyung-Ho Ko
Hyo-San Lee
Woo-Sung Han
Chang-Ki Hong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2003-0042133A external-priority patent/KR100505693B1/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE102004029077A1 publication Critical patent/DE102004029077A1/en
Application granted granted Critical
Publication of DE102004029077B4 publication Critical patent/DE102004029077B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

Verfahren zur Entfernung eines Photoresists von einem Substrat, umfassend folgende Verfahrensschritte:
Behandeln des Photoresists mit einem ersten Reaktanden zur Bewirkung eines Aufquellens, Brechens oder Delaminieren des Photoresists;
Behandeln des Photoresists mit einem zweiten Reaktanden zur chemischen Veränderung des Photoresists, wobei die Behandlung des Photoresists mit dem zweiten Reaktanden in einem separaten Prozessschritt nach der Behandlung mit dem ersten Reaktanden ausgeführt wird; und
nachfolgendes Entfernen des chemisch veränderten Photoresists mit einem dritten Reaktanden.
A method for removing a photoresist from a substrate, comprising the following method steps:
Treating the photoresist with a first reactant to effect swelling, breaking or delamination of the photoresist;
Treating the photoresist with a second reactant to chemically alter the photoresist, wherein the treatment of the photoresist with the second reactant is carried out in a separate process step after treatment with the first reactant; and
subsequent removal of the chemically altered photoresist with a third reactant.

Figure 00000001
Figure 00000001

Description

Hintergrund der ErfindungBackground of the invention

Ein Photoresist ist ein organisches Polymer, das löslich wird, wenn es Licht ausgesetzt wird. Photoresists werden in vielen Anwendungen innerhalb verschiedener Industriezweige verwendet, wie bei Halbleitern, biomedizinischen Konstruktionen, in der Holographie, Elektronik und in Nanofabrikationsindustrien. Als ein Beispiel wird ein Photoresist verwendet um dabei zu helfen, Schaltkreismuster während der Chipherstellung in der Halbleiterindustrie zu definieren. Die Verwendung eines Photoresists verhindert das Ätzen oder Plattieren in dem Bereich, den das Photoresist abdeckt (dies ist auch als Resist bekannt).One Photoresist is an organic polymer that becomes soluble when exposed to light becomes. Photoresists are used in many applications within different Industries used, as in semiconductors, biomedical Constructions, in holography, electronics and nanofabrication industries. As an example, a photoresist is used to help Circuit pattern during the Chip manufacturing in the semiconductor industry. The usage of a photoresist prevents the etching or plating in the Area the photoresist covers (this is also known as resist).

Der Entfernung des Photoresists, allgemein als „stripping” bekannt, geht ein Plasmaveraschen, Ätzen oder andere Herstellungsschritte voraus. Diese Schritte können das Photoresist abbauen oder carbonisieren und lassen einen Photoresist-Rückstand zurück, der durch derzeitige Stripping-Verfahren schwierig zu entfernen ist. Insbesondere erzeugt eine Ionenimplantation mit einer Dosis von 3 × 1015 Ionen/cm2 oder größer ein Photoresist, das eine harte äußere Kruste zeigt, die einen weichen Kern bedeckt. 1A zeigt eine Querschnittsansicht und 1B eine Draufsicht auf einen Photoresist, der eine harte äußere Kruste 40' zeigt, die durch Ionenimplantation erzeugt wurde. Wie in den 1A und 1B dargestellt, kann die harte äußere Kruste 40' in der Größenordnung von 200 bis 300 Å dick sein.The removal of the photoresist, commonly known as "stripping", is preceded by plasma ashing, etching or other manufacturing steps. These steps can degrade or carbonize the photoresist leaving a photoresist residue which is difficult to remove by current stripping processes. In particular, ion implantation at a dose of 3 × 10 15 ions / cm 2 or greater produces a photoresist that exhibits a hard outer crust covering a soft core. 1A shows a cross-sectional view and 1B a top view of a photoresist having a hard outer crust 40 ' which was produced by ion implantation. As in the 1A and 1B shown, the hard outer crust can 40 ' be on the order of 200 to 300 Å thick.

2 ist ein Querschnitt, der den Schritt der Ionenimplantation erläutert. 2 zeigt ein Substrat 110, eine Gate-Elektrode 10, einen Isolationsfilm 11, und einen Source/Drain-Bereich 20, einen Abstandshalter 30, ein Photoresistmuster 40, und ein Well 50. Wenn das Photoresistmuster 40 einer Ionenimplantation 45 ausgesetzt ist, wird eine harte äußere Kruste 40' auf dem Photoresistmuster 40 ausgebildet. 2 Fig. 12 is a cross section explaining the step of ion implantation. 2 shows a substrate 110 , a gate electrode 10 , an isolation film 11 , and a source / drain region 20 a spacer 30 , a photoresist pattern 40 , and a well 50 , When the photoresist pattern 40 an ion implantation 45 is exposed to a hard outer crust 40 ' on the photoresist pattern 40 educated.

Der Rückstand kann auch ein Problem darstellen. 3A zeigt einen Querschnitt und 3B eine Draufsicht eines Photoresists, der nach einem Ätzverfahren oder einem Prozeß des chemisch mechanischen Polierens (CMP) einen Rückstand zeigt. 3A zeigt ein Substrat 110, eine geätzte Aktivschicht 60, ein Photoresistmuster 70 und eine harte äußere Kruste 70', die gebildet wird, wenn das Photoresistmuster 70 einer Ionenimplantation 75 ausgesetzt wird. Die 3A und 3B zeigen einen Rückstand 80 und einen organischen Defekt 90.The backlog can also be a problem. 3A shows a cross section and 3B a plan view of a photoresist, which shows a residue after an etching process or a process of chemical mechanical polishing (CMP). 3A shows a substrate 110 , an etched active layer 60 , a photoresist pattern 70 and a hard outer crust 70 ' which is formed when the photoresist pattern 70 an ion implantation 75 is suspended. The 3A and 3B show a residue 80 and an organic defect 90 ,

Herkömmlicherweise wurde das Photoresist durch ein Plasmaveraschungsverfahren, gefolgt von einem Stripping-Prozeß, entfernt. Das Plasmaveraschungsverfahren verwendet O2 Plasma, das Schäden an der Unterschicht bewirken und dadurch die elektrische Leistung der darunter liegenden Halbleitervorrichtung verschlechtern kann. Der Stripping-Prozeß erfordert hohe Mengen an toxischen und/oder korrosiven Chemikalien, um photoreaktive Polymere oder Photoresist von Chipoberflächen zu entfernen.Conventionally, the photoresist was removed by a plasma ashing process followed by a stripping process. The plasma ashing method uses O 2 plasma, which can cause damage to the underlayer and thereby degrade the electrical performance of the underlying semiconductor device. The stripping process requires high levels of toxic and / or corrosive chemicals to remove photoreactive polymers or photoresist from chip surfaces.

Zur Überwindung dieser Probleme sind andere Stripping-Verfahren entwickelt worden, einschließlich organischer und/oder anorganischer Stripping-Lösungsmittel mit superkritischem Kohlendioxid (SCCO2) oder Ozon (O3) Gas. Techniken, die Resist unter Verwendung von SCCO2 entfernen, benutzen eine verdichtete CO2-Reinigungszusammensetzung, die CO2 und wenigstens ein Co-Lösungsmittel einschließt, wie ein Tensid, einen Alkohol oder ein Amin. Die Verfahren, welche SCCO2 und ein Co-Lösungsmittel verwenden, sind jedoch unfähig eine harte äußere Kruste eines Photoresists, die durch Ionenimplantation hervorgerufen wurde, aufzulösen.To overcome these problems, other stripping methods have been developed, including organic and / or inorganic stripping solvents with supercritical carbon dioxide (SCCO 2 ) or ozone (O 3 ) gas. Techniques that remove resist using SCCO 2 use a compressed CO 2 cleaning composition that includes CO 2 and at least one cosolvent, such as a surfactant, an alcohol, or an amine. However, the methods using SCCO 2 and a co-solvent are unable to dissolve a hard outer crust of a photoresist caused by ion implantation.

Ein zweites Verfahren zur Entfernung eines Photoresists oder anderen organischen Materials von einem Substrat, wie einem Halbleiterwafer, schließt teilweises Eintauchen des Substrats in ein Lösungsmittel, zum Beispiel deionisiertes Wasser, in einer Reaktionskammer ein, Injizieren eines oxidierenden Gases, zum Beispiel Ozon, in die Reaktionskammer und Rotieren oder anderweitiges Bewegen des Substrats durch das Lösungsmittel zum Aufbringen eines dicken Films des Lösungsmittels über dem organischen Bestandteil auf der Substratoberfläche und Aussetzen der lösungsmittelbeschichteten Komponente gegenüber Ozongas, um das organische Material von der Oberfläche zu entfernen. Ozon verwendende Resistentfernungstechniken sind jedoch nicht fähig, eine harte äußere Kruste aufzulösen, die durch einen Schritt der Ionenimplantation hervorgerufen wurde. 4 erläutert ein Versagen von Resistentfernungstechniken unter Verwendung von Ozon zur Entfernung einer harten äußeren Kruste des Photoresists, die durch Ionenimplantation mit einer Dosis von 3 × 1015 Ionen/cm2 oder größer hervorgerufen wurde.A second method of removing a photoresist or other organic material from a substrate, such as a semiconductor wafer, involves partially submerging the substrate in a solvent, for example, deionized water, in a reaction chamber, injecting an oxidizing gas, such as ozone, into the reaction chamber and rotating or otherwise moving the substrate through the solvent to apply a thick film of the solvent over the organic component to the substrate surface and expose the solvent coated component to ozone gas to remove the organic material from the surface. Ozone-depleting resist removal techniques, however, are not capable of dissolving a hard outer crust caused by a step of ion implantation. 4 describes a failure of resist removal techniques using ozone to remove a hard outer crust of the photoresist caused by ion implantation at a dose of 3 x 10 15 ions / cm 2 or greater.

Die US 6,306,564 B1 offenbart ein Verfahren zur Entfernung eines Photoresists von einem Substrat, bei dem der eines Photoresist mit superkritischem Kohlendioxid als ersten Reaktanden behandelt wird. Ferner erfolgt eine Behandlung des eines Photoresists mittels Ozon als zweitem Reaktanden, wonach der behandelte eines Photoresist zu spüren mit deionisiertem Wasser als drittem Reaktanden entfernt wird. Offenbarungsgemäß wird die Behandlung des Photoresists nicht in unterschiedlichen Kammern durchgeführt. Ein solcher Hinweis ist dem Dokument auch an keiner Stelle zu entnehmen.The US 6,306,564 B1 discloses a method for removing a photoresist from a substrate, wherein the one of a photoresist is treated with supercritical carbon dioxide as a first reactant. Further, treatment of a photoresist with ozone as the second reactant, followed by removal of the treated photoresist with deionized water as the third reactant, is performed. Apparently, the treatment of the photoresist is not performed in different chambers. Such an indication can not be found in the document at any point.

Die US 2002/0014257 A1 betrifft ein Trockenverfahren zum Reinigen von Präzisionsoberflächen, wie denen von Halbleiterwerfern. Die hierbei verwendeten Materialien wie Kohlendioxid und andere nützliche Zusätze werden ausschließlich in gasförmigen und superkritischen Zuständen zugeführt.The US 2002/0014257 A1 relates to a dry process for cleaning precision surfaces, such as those of semiconductor lasers. The materials used here, such as carbon dioxide and other useful additives, are supplied exclusively in gaseous and supercritical states.

Die WO 02/11191 A2 offenbart ein Verfahren und eine Vorrichtung zum Behandeln eines Substrats mit einem Reaktionslösungsmittel, welches aus superkritischem Ozon gebildet ist. Ein Verfahren gemäß dem das Entfernen eines Photoresists in zwei separaten Schritten mit zwei unterschiedlichen Reaktanden erfolgt, ist diesem Dokument nicht zu entnehmen.The WO 02/11191 A2 discloses a method and apparatus for treating a substrate with a reaction solvent formed from supercritical ozone. A method according to which the removal of a photoresist is carried out in two separate steps with two different reactants is not apparent from this document.

Zusammenfassung der ErfindungSummary of the invention

In beispielhaften Ausführungsformen ist die vorliegende Erfindung auf ein Verfahren zur Entfernung eines Photoresists von einem Substrat gerichtet, das ein Behandeln des Photoresists mit einem ersten Reaktanden zum Bewirken eines Aufquellens, Brechens oder Delaminierens des Photoresists, Behandeln des Photoresists mit einem zweiten Reaktanden zur chemischen Veränderung des Photoresists, wobei die Behandlung des Photoresists möit dem zweiten Reaktanden in einem separaten Prozesschritt nachfolgend der Behandlung mit dem ersten Reaktanden ausgeführt wird; und nachfolgendes Entfernen des chemisch veränderten Photoresists mit einem dritten Reaktanden umfaßt.In exemplary embodiments the present invention is directed to a method for removing a Photoresists directed from a substrate, which is a treatment of the Photoresists having a first reactant for effecting swelling, breaking or delaminating the photoresist, treating the photoresist with a second reactant for chemically altering the photoresist, wherein the treatment of the photoresist allows the second reactant in a separate process step following treatment with the first reactants performed becomes; and subsequently removing the chemically altered Photoresists comprising a third reactant.

In beispielhaften Ausführungsformen ist die vorliegende Erfindung auf ein Verfahren zur Entfernung eines Photoresists von einem Substrat gerichtet, das ein Laden des Substrats in eine Kammer, Injizieren eines ersten Reaktanden in die Kammer und Umwandeln des ersten Reaktanden in einen superkritischen Zustand, Beibehalten des Kontakts zwischen dem Substrat und dem superkritischen ersten Reaktanden; Druck in der Kammer herabsetzen; Injizieren eines zweiten Reaktanden in den Kammer; Beibehalten des Kontakts zwischen dem Substrat und dem zweiten Reaktanden, Spülen der Kammer und Entladen des Substrats, Entfernen des Photoresists und Trocknen des Substrats, ferner vor dem Injizieren des zweiten Reaktanden ein Laden des Substrats in eine zweite Kammer umfassend, wobei das Beibehalten des Kontakts und das Spülen in der zweiten Kammer erfolgt, umfaßt.In exemplary embodiments the present invention is directed to a method for removing a Photoresists are directed from a substrate, loading the substrate into a chamber, injecting a first reactant into the chamber and converting the first reactant to a supercritical state, Maintaining the contact between the substrate and the supercritical first reactants; Reduce pressure in the chamber; Inject a second reactants in the chamber; Maintaining the contact between the substrate and the second reactant, rinsing the chamber and unloading substrate, removing the photoresist and drying the substrate, further charging the substrate prior to injecting the second reactant in a second chamber, wherein maintaining the contact and the rinse takes place in the second chamber.

In beispielhaften Ausführungsformen ist die vorliegende Erfindung auf eine Vorrichtung zur Entfernung eines Photoresists von einem Substrat gerichtet, die wenigstens eine erste Kammer zum Behandeln des Photoresists mit einem ersten Reaktanden zum Bewirken eines Aufquellens, Brechens oder Delaminieren des Photoresists, eine zweite Kammer zur Behandlung des Photoresists mit einem zweiten Reaktanden zur chemischen Veränderung des Photoresists, eine dritte Kammer zum Abspülen des Substrats, eine vierte Kammer zum Trocknen des Substrats und zum Halten desselben und eine Transfereinrichtung zum Transferieren des Substrats zwischen Kammern umfaßt.In exemplary embodiments the present invention is an apparatus for removal of a photoresist from a substrate directed at least a first chamber for treating the photoresist with a first Reactants for effecting swelling, breakage or delamination of the photoresist, a second photoresist treatment chamber with a second reactant for chemically altering the photoresist, a third chamber for rinsing of the substrate, a fourth chamber for drying the substrate and for holding the same and transfer means for transferring of the substrate between chambers.

Kurze Beschreibung der FigurenBrief description of the figures

Die vorliegende Erfindung wird besser von der nachfolgend gegebenen Beschreibung und den beigefügten Figuren verstanden, die nur dem Zwecke der Erläuterung dienen und somit die Erfindung nicht beschränken.The The present invention will become better from the following Description and attached Figures understood that serve only for the purpose of explanation and thus the Do not limit the invention.

1A zeigt einen Querschnitt und 1B eine Draufsicht eines Photoresists, der eine durch Ionenimplantation hervorgerufene harte äußere Kruste 40' zeigt. 1A shows a cross section and 1B a top view of a photoresist, the one caused by ion implantation hard outer crust 40 ' shows.

2 ist ein Querschnitt, der einen herkömmlichen Schritt der Ionenimplantation zeigt. 2 Fig. 10 is a cross section showing a conventional step of ion implantation.

3A zeigt einen Querschnitt und 3B eine Draufsicht eines Photoresists, der einen Rückstand nach einem herkömmlichen Ätzverfahren oder einem herkömmlichen Prozeß des chemisch mechanischen Polierens (CMP) zeigt. 3A shows a cross section and 3B a plan view of a photoresist showing a residue according to a conventional etching process or a conventional process of chemical mechanical polishing (CMP).

4 zeigt das Verfahren herkömmlicher Resistentfernungstechniken, die Ozon zur Entfernung einer harten äußeren Kruste des Photoresists verwenden, die durch Ionenimplantation mit einer Dosis von 3 × 1015 Ionen/cm2 oder größer hervorgerufen wurde. 4 Figure 3 shows the process of conventional resist removal techniques that use ozone to remove a hard outer crust of the photoresist caused by ion implantation at a dose of 3 x 10 15 ions / cm 2 or greater.

5 zeigt eine Vorrichtung zur Entfernung eines Photoresists von einem Substrat gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung. 5 shows an apparatus for removing a photoresist from a substrate according to an exemplary embodiment of the present invention.

6 erläutert eine SCCO2 Behandlungskammer aus 5 und damit verbundene Elemente gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung. 6 explains an SCCO 2 treatment chamber 5 and related elements according to an exemplary embodiment of the present invention.

7 erläutert die Ozon-Dampfbehandlungskammer in 5 in einer beispielhaften Ausführungsform der vorliegenden Erfindung. 7 explains the ozone steam treatment chamber in 5 in an exemplary embodiment of the present invention.

8A zeigt ein Flußdiagramm eines beispielhaften Verfahrens der vorliegenden Erfindung und 8B ein beispielhaftes Diagramm von Druck gegen Zeit für das Flußdiagramm aus 8A. 8A shows a flowchart of an exemplary method of the present invention and 8B an exemplary graph of pressure versus time for the flowchart 8A ,

9A zeigt ein Flußdiagramm einer beispielhaften Ausführungsform der vorliegenden Erfindung, die in einer monolitischen Kammer erfolgt, und 9B zeigt das korrespondierende Diagramm Druck gegen Zeit. 9A FIG. 10 is a flow chart of an exemplary embodiment of the present invention taken in a monolithic chamber; and FIG 9B shows the corresponding diagram pressure versus time.

10 zeigt ein Phasendiagramm für CO2, das den Bereich Druck gegen Temperatur zeigt, an dem CO2 superkritisch wird. 10 Figure 4 shows a phase diagram for CO 2 showing the pressure versus temperature region at which CO 2 becomes supercritical.

11 erläutert ein Verfahren der vorliegenden Erfindung gemäß einer weiteren beispielhaften Ausführungsform. 11 illustrates a method of the present invention according to another exemplary embodiment.

Ausführliche Beschreibung der beispielhaften AusführungsformenDetailed description of the exemplary embodiments

5 zeigt eine Vorrichtung zur Entfernung eines Photoresists von einem Substrat gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung. Wie in 5 dargestellt, umfaßt die Vorrichtung wenigstens eine Kammer 100. Wenigstens ein Substrat ist in wenigstens einer Kammer 100 vorgesehen. Das Substrat 110 kann über eine Kassette 120 bereitgestellt werden. Die Vorrichtung kann auch eine Transferkammer 200 umfassen, eine SCCO2 Behandlungskammer 300, eine Ozon-Dampfbehandlungskammer 400, eine Spül-(oder Bade-)kammer 500 und eine Trocknungskammer 600. Das Substrat 110 kann von den Kammern 100 bis 600 über eine mechanische oder elektromechanische Vorrichtung, wie einem Roboterarm 210, bewegt werden. 5 shows an apparatus for removing a photoresist from a substrate according to an exemplary embodiment of the present invention. As in 5 illustrated, the device comprises at least one chamber 100 , At least one substrate is in at least one chamber 100 intended. The substrate 110 can have a cassette 120 to be provided. The device can also be a transfer chamber 200 include, an SCCO 2 treatment chamber 300 , an ozone steam treatment chamber 400 , a rinse (or bath) chamber 500 and a drying chamber 600 , The substrate 110 can from the chambers 100 to 600 via a mechanical or electromechanical device, such as a robotic arm 210 to be moved.

6 stellt die SCCO2 Behandlungskammer 300 aus 5 und damit verbundene Elemente gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung dar. 6 zeigt die SCCO2 Behandlungskammer 300, eine Waferplatte 301, einen Heizmantel 305, eine CO2-Zylinder 310, eine CO2-Einlaßleitung 312, eine CO2-Kompressionspumpe 314 und eine CO2-Heizeinrichtung 316. 6 zeigt auch einen SCCO2-Generator 317, ein oder mehrere CO2-Steuerventile 318, 328, 338, 348, ein entlüftetes CO2-Reservoir 320, eine entlüftete CO2-Auslaßleitung 322, eine Zirkulationsleitung 332, eine Zirkulationspumpe 334 und eine CO2-Rückführung 342. 6 represents the SCCO 2 treatment chamber 300 out 5 and related elements according to an exemplary embodiment of the present invention. 6 shows the SCCO 2 treatment chamber 300 , a wafer plate 301 , a heating mantle 305 , a CO 2 cylinder 310 , a CO 2 inlet line 312 , a CO 2 compression pump 314 and a CO 2 heater 316 , 6 also shows an SCCO 2 generator 317 , one or more CO 2 control valves 318 . 328 . 338 . 348 , a vented CO 2 reservoir 320 , a vented CO 2 outlet 322 , a circulation pipe 332 , a circulation pump 334 and a CO 2 recycling 342 ,

7 erläutert die Ozon-Dampfbehandlungskammer 400 aus 5 in einer beispielhaften Ausführungsform der vorliegenden Erfindung. 7 zeigt die Ozon-Dampfbehandlungskammer 400, eine Waferplatte 401, einen Heizmantel 405, einen Ozondampfgenerator 410, eine Ozongaseinlaßleitung 412 und ein Ozonsteuerventil 418. 7 zeigt ferner einen Dampfgenerator 420, eine Dampfeinlaßleitung 422 und ein Dampfsteuerventil 428. Die Ozon-Dampfbehandlungskammer 400 umfaßt ferner ein entlüftetes Gasreservoir 430, eine entlüftete Gasauslaßleitung 432 und ein entlüftetes Gassteuerventil 438. 7 explains the ozone steam treatment chamber 400 out 5 in an exemplary embodiment of the present invention. 7 shows the ozone steam treatment chamber 400 , a wafer plate 401 , a heating mantle 405 , an ozone vapor generator 410 , an ozone gas inlet pipe 412 and an ozone control valve 418 , 7 further shows a steam generator 420 , a steam inlet pipe 422 and a steam control valve 428 , The ozone steam treatment chamber 400 further includes a vented gas reservoir 430 , a vented gas outlet pipe 432 and a vented gas control valve 438 ,

8A zeigt ein Flußdiagramm eines beispielhaften Verfahrens der vorliegenden Erfindung und 8B zeigt ein Diagramm Druck gegen Zeit für das Flußdiagramm der 8A. In Schritt 42 wird ein Substrat 110 in die SCCO2-Behandlungskammer 300 geladen. In Schritt 44 wird CO2 in die SCCO2-Behandlungskammer 300 injiziert und CO2 wird zu SCCO2 umgewandelt. In Schritt 46 wird das SCCO2 mit dem Substrat 110 in Kontakt gehalten. In Schritt 48 wird der Druck der SCCO2 Behandlungskammer 300 herabgesetzt und der Wafer 110 entfernt. Im Schritt 50 wird das Substrat 110 in die Ozon-Dampfbehandlungskammer 400 geladen und in Schritt 52 wird Ozondampf in die Ozon-Dampfbehandlungskammer 400 unter gewünschten Bedingungen injiziert. In Schritt 54 wird der Ozondampf mit dem Substrat 110 in Kontakt gehalten. In Schritt 56 wird die Ozondampfkammer 400 gespült und das Substrat 110 entfernt. In Schritt 58 wird das Substrat 110 in eine Spül- oder Badkammer 500 zum Abspülen bewegt und in Schritt 60 wird das Substrat 110 zum Trocknen in die Trockenkammer bewegt. 8A shows a flowchart of an exemplary method of the present invention and 8B shows a graph pressure versus time for the flow chart of 8A , In step 42 becomes a substrate 110 in the SCCO 2 treatment chamber 300 loaded. In step 44 becomes CO 2 in the SCCO 2 treatment chamber 300 injected and CO 2 is converted to SCCO 2 . In step 46 becomes the SCCO 2 with the substrate 110 kept in contact. In step 48 will be the pressure of the SCCO 2 treatment chamber 300 lowered and the wafer 110 away. In step 50 becomes the substrate 110 into the ozone steam treatment chamber 400 loaded and in step 52 Ozone vapor enters the ozone vapor treatment chamber 400 injected under desired conditions. In step 54 becomes the ozone vapor with the substrate 110 kept in contact. In step 56 becomes the ozone vapor chamber 400 rinsed and the substrate 110 away. In step 58 becomes the substrate 110 in a rinsing or bathing chamber 500 moved to rinse off and in step 60 becomes the substrate 110 moved to dry in the drying chamber.

Obwohl 5 der vorliegenden Anmeldung eine Mehrkammervorrichtung zeigt, können die Lehren der vorliegenden Erfindung auch auf eine Vorrichtung mit monolitischer Kammer angewendet werden.Even though 5 In the present application, a multi-chamber device is shown, the teachings of the present invention can also be applied to a monolithic chamber device.

9A zeigt ein Flußdiagramm einer beispielhaften Ausführungsform der vorliegenden Erfindung, welche in einer monolitischen Kammer erfolgt, und 9B zeigt das korrespondierende Diagramm Druck gegen Zeit. 9A FIG. 3 is a flow chart of an exemplary embodiment of the present invention taken in a monolithic chamber; and FIG 9B shows the corresponding diagram pressure versus time.

Wie in 9A dargestellt, wird das Substrat 110 in Schritt 62 in die monolitische Kammer geladen. In Schritt 64 wird CO2 in die monolitische Kammer injiziert und zu SCCO2 umgewandelt. In Schritt 66 wird das SCCO2 mit dem Substrat 110 in Kontakt gehalten. In Schritt 68 wird der Druck in der monolitischen Kammer herabgesetzt und in Schritt 70 wird Ozondampf injiziert. In Schritt 72 wird der Ozondampf in Kontakt mit dem Substrat 110 gehalten und in Schritt 74 wird die monolitische Kammer gespült und das Substrat 110 entladen. Nachfolgend kann, wie in Schritt 76 und 78 angedeutet, das Substrat außerhalb der monolitischen Kammer abgespült und getrocknet werden.As in 9A shown, becomes the substrate 110 in step 62 loaded into the monolithic chamber. In step 64 CO 2 is injected into the monolithic chamber and converted to SCCO 2 . In step 66 becomes the SCCO 2 with the substrate 110 kept in contact. In step 68 the pressure in the monolithic chamber is lowered and in step 70 is injected ozone vapor. In step 72 the ozone vapor is in contact with the substrate 110 kept and in step 74 the monolitic chamber is rinsed and the substrate 110 discharged. Below, as in step 76 and 78 indicated that the substrate rinsed outside the monolithic chamber and dried.

10 zeigt ein Phasendiagramm für CO2, das den Bereich von Druck gegen Temperatur zeigt, an dem CO2 superkritisch wird. 10 Figure 4 shows a phase diagram for CO 2 showing the range of pressure versus temperature at which CO 2 becomes supercritical.

11 erläutert ein Verfahren der vorliegenden Erfindung gemäß einer weiteren beispielhaften Ausführungsform. Wie in Schritt 802 dargestellt, wird ein Substrat 110 in der Druckkammer plaziert. In Schritt 804 wird die Druckkammer abgedichtet. In Schritt 806 wird in der Druckkammer mit CO2-Druck aufgebaut und in Schritt 808 wird das CO2 durch Erhöhen des Drucks und der Temperatur in SCCO2 umgewandelt. Damit CO2 kritisch wird, muß der Druck über 73 Bar und die Temperatur über 31°C sein, wie in 10 dargestellt. In Schritt 810 wird das SCCO2 mit dem Substrat 110 in Kontakt gehalten. Schritt 810 bewirkt auf ein Aufquellen, Brechen und/oder Delaminieren des Photoresists auf dem Substrat 110. In einer beispielhaften Ausführungsform wird die Temperatur bei ungefähr 100°C und der Druck bei ungefähr 150 Bar gehalten. In Schritt 812 wird der Druck der Kammer auf normalen Atmosphärendruck herabgesetzt und dieselbe wird belüftet. In Schritt 814 wird das Substrat 110 in eine zweite Druckkam mer transferiert und in Schritt 816 wird diese Druckkammer abgedichtet. In Schritt 818 wird der Druck in der zweiten Druckkammer erhöht. In einer beispielhaften Ausführungsform ist der Druck größer als 60 kPa. 11 illustrates a method of the present invention according to another exemplary embodiment. As in step 802 shown, becomes a substrate 110 placed in the pressure chamber. In step 804 the pressure chamber is sealed. In step 806 is built up in the pressure chamber with CO 2 pressure and in step 808 the CO 2 is converted by increasing the pressure and the temperature in SCCO. 2 For CO 2 to become critical, the pressure must be above 73 bar and the temperature above 31 ° C, as in 10 shown. In step 810 becomes the SCCO 2 with the substrate 110 kept in contact. step 810 causes swelling, breaking and / or delamination of the photoresist on the substrate 110 , In an exemplary embodiment, the temperature is maintained at about 100 ° C and the pressure at about 150 bar. In step 812 the pressure of the chamber is reduced to normal atmospheric pressure and the same is vented. In step 814 becomes the substrate 110 transferred to a second Druckkam mer and in step 816 this pressure chamber is sealed. In step 818 the pressure in the second pressure chamber is increased. In an exemplary embodiment, the pressure is greater than 60 kPa.

Ferner werden in Schritt 818 Ozongas und Wasserdampf bei erhöhter Temperatur zugeführt. In einer beispielhaften Ausführungsform wird das Ozongas bei einer Temperatur von ungefähr 105°C zugeführt und Wasserdampf bei einer Temperatur von ungefähr 115°C. In Schritt 820 wird die Reaktion aufrechterhalten, bis das Photoresist in ein wasserlösliches Produkt umgewandelt ist, und in Schritt 822 wird der Druck in der zweiten Kammer auf normalen Atmosphärendruck gesenkt und es wird belüftet. In Schritt 824 wird das Substrat abgespült und das wasserlösliche Produkt entfernt.Further, in step 818 Supplied ozone gas and water vapor at elevated temperature. In an exemplary embodiment, the ozone gas is supplied at a temperature of about 105 ° C and water vapor at a temperature of about 115 ° C. In step 820 the reaction is maintained until the photoresist is converted to a water-soluble product and in step 822 the pressure in the second chamber is lowered to normal atmospheric pressure and vented. In step 824 the substrate is rinsed off and the water-soluble product is removed.

Eine beispielhafte Ausgestaltung des erfindungsgemäßen Verfahrens umfaßt drei Schritte. Der erste Schritt ist eine Behandlung mit einem ersten Reaktanden, um ein Aufquellen, Brechen oder Delaminieren eines Photoresists zu bewirken, der zweite Schritt ist ein Behandeln mit einem zweiten Reaktanden zur chemischen Veränderung des Photoresists und der dritte Schritt ist das Entfernen des chemisch veränderten Photoresists mit einem dritten Reaktanden. In einer beispielhaften Ausführungsform ist der erste Recktand SCCO2, der zweite Recktand ist ein Recktand auf Ozonbasis und der dritte Recktand ist deionisiertes Wasser. In weiteren beispielhaften Ausführungsformen ist der Recktand auf Ozonbasis Ozondampf, in einer weiteren beispielhaften Ausführungsform hoch konzentrierter Ozondampf. In anderen beispielhaften Ausführungsformen liegt der Ozondampf in einer Konzentration gleich oder größer als 90.000 ppm vor. In anderen beispielhaften Ausführungsformen ist der Recktand auf Ozonbasis mit Wasserdampf gemischtes Ozongas.An exemplary embodiment of the method according to the invention comprises three steps. The first step is a treatment with a first reactant to cause swelling, cracking or delamination of a photoresist, the second step is treating with a second reactant to chemically alter the photoresist and the third step is removing the chemically altered photoresist with a third reactant. In an exemplary embodiment, the first reactant is SCCO 2 , the second reactant is an ozone-based reactant, and the third reactant is deionized water. In other exemplary embodiments, the ozone-based reactant is ozone vapor, in another exemplary embodiment, highly concentrated ozone vapor. In other exemplary embodiments, the ozone vapor is present in a concentration equal to or greater than 90,000 ppm. In other exemplary embodiments, the ozone-based reactant is ozone gas mixed with steam.

Eine weitere beispielhafte Ausgestaltung des erfindungsgemäßen Verfahrens umfaßt drei Schritte. Der erste Schritt ist eine Behandlung mit SCCO2, der zweite Schritt ist eine Behandlung mit einem Reaktanden auf Ozonbasis und der dritte Schritt ist ein Schritt des Abspülens. Für jeden dieser drei Schritte können beispielhafte Verfahrensbedingungen eingehalten werden. Mit Bezug auf den Schritt der SCCO2-Behandlung kann die Temperatur innerhalb der Kammer zwischen 100 und 150°C und der Druck zwischen 150 und 200 Bar gehalten werden. Mit Bezug auf die Behandlung mit hoch gesättigtem Ozondampf kann die Temperatur der Kammer bei 105°C und die Temperatur des Dampfs bei 115°C gehalten werden. In einer beispielhaften Ausführungsform ist ein Temperaturabstand zwischen der Kammer und dem Dampf im Bereich von ungefähr 10°C bis 15°C und eine Druckdifferenz ist zwischen 60 kPa und 80 kPa. Es ist anzumerken, daß ein Druck von größer als 80 kPa eingehalten werden kann, so lange ausreichende Sicherheitsvorkehrungen beachtet werden. Bezüglich der Konzentration des Ozongases beträgt die Konzentration in einer beispielhaften Ausführungsform 90.000 ppm oder größer an dem Ozongenerator.Another exemplary embodiment of the method according to the invention comprises three steps. The first step is treatment with SCCO 2 , the second step is an ozone-based reactant treatment and the third step is a rinse-off step. For each of these three steps, exemplary process conditions may be met. With reference to the step of SCCO 2 treatment, the temperature within the chamber can be maintained between 100 and 150 ° C and the pressure between 150 and 200 bar. With respect to the treatment with highly saturated ozone vapor, the temperature of the chamber can be maintained at 105 ° C and the temperature of the steam at 115 ° C. In an exemplary embodiment, a temperature spacing between the chamber and the vapor is in the range of about 10 ° C to 15 ° C and a pressure differential is between 60 kPa and 80 kPa. It should be noted that a pressure greater than 80 kPa can be maintained as long as adequate safety precautions are taken. Concerning the concentration of the ozone gas, in one exemplary embodiment, the concentration is 90,000 ppm or greater at the ozone generator.

Es ist anzumerken, daß die Anordnung der in den 5 bis 7 dargestellten Vorrichtungen beispielhaft sind und modifiziert werden können, um Elemente hinzuzufügen, zu ersetzen oder zu entfernen, wie es dem Fachmann geläufig ist. Es ist ferner anzumerken, daß die in den 8A, 9A und 11 erläuterten Verfahren ebenfalls beispielhaft sind und verschiedene Schritte hinzugefügt, ersetzt oder fortgelassen werden können, wie es dem Fachmann ebenfalls bekannt ist.It should be noted that the arrangement of the in 5 to 7 are exemplary and can be modified to add, replace or remove elements as is known to those skilled in the art. It should also be noted that in the 8A . 9A and 11 are also exemplary and various steps can be added, replaced or omitted, as is also known in the art.

Die so beschriebene Erfindung kann offensichtlich auf vielfältige Weise variiert werden. Solche Variationen werden nicht als Abkehr vom Geist und Rahmen der Erfindung angesehen und sämtliche dieser Modifikationen, die für den Fachmann offensichtlich sind, liegen somit im Rahmen der folgenden Ansprüche.The Thus, the invention thus described can be manifest in many ways be varied. Such variations are not considered a departure from Spirit and scope of the invention and all of these modifications, the for those skilled in the art are thus, within the scope of the following claims.

Claims (39)

Verfahren zur Entfernung eines Photoresists von einem Substrat, umfassend folgende Verfahrensschritte: Behandeln des Photoresists mit einem ersten Reaktanden zur Bewirkung eines Aufquellens, Brechens oder Delaminieren des Photoresists; Behandeln des Photoresists mit einem zweiten Reaktanden zur chemischen Veränderung des Photoresists, wobei die Behandlung des Photoresists mit dem zweiten Reaktanden in einem separaten Prozessschritt nach der Behandlung mit dem ersten Reaktanden ausgeführt wird; und nachfolgendes Entfernen des chemisch veränderten Photoresists mit einem dritten Reaktanden.Method for removing a photoresist from a substrate, comprising the following process steps: To treat of the photoresist with a first reactant to effect a Swelling, breaking or delaminating the photoresist; To treat of the photoresist with a second chemical change reactant of the photoresist, wherein the treatment of the photoresist with the second reactants in a separate process step after treatment performed with the first reactant becomes; and subsequent removal of the chemically modified photoresist with a third reactant. Verfahren gemäß Anspruch 1, wobei das Photoresist eine durch Ionenimplantation gebildete harte Kruste aufweist.Method according to claim 1, wherein the photoresist is one formed by ion implantation hard crust. Verfahren gemäß Anspruch 2, wobei das Ionenimplantieren bei einer Dosis von 3 × 1015 Ionen/cm2 oder größer durchgeführt wurde.The method of claim 2, wherein the ion implantation was performed at a dose of 3 x 10 15 ions / cm 2 or greater. Verfahren gemäß Anspruch 1, wobei der erste Recktand superkritisches Kohlendioxid ist.The method of claim 1, wherein the first Recktand is supercritical carbon dioxide. Verfahren gemäß Anspruch 4, wobei das superkritische Kohlendioxid eine Temperatur von 100–150°C aufweist und einen Druck von 150–200 bar.Method according to claim 4, wherein the supercritical carbon dioxide has a temperature of 100-150 ° C. and a pressure of 150-200 bar. Verfahren gemäß Anspruch 1, wobei der zweite Recktand ein Recktand auf Ozonbasis ist.Method according to claim 1, wherein the second reactant is an ozone-based reactant. Verfahren gemäß Anspruch 6, wobei der Recktand auf Ozonbasis Ozondampf ist.Method according to claim 6, wherein the ozone-based reactant is ozone vapor. Verfahren gemäß Anspruch 6, wobei der Recktand auf Ozonbasis mit Wasserdampf gemischtes Ozongas ist.Method according to claim 6, wherein the ozone-based reactant is ozone gas mixed with water vapor is. Verfahren gemäß Anspruch 7, wobei der Ozondampf eine Temperatur von 105–115°C aufweist und einen Druck von 60–80 kPa.Method according to claim 7, wherein the ozone vapor has a temperature of 105-115 ° C and a pressure of 60-80 kPa. Verfahren gemäß Anspruch 7, wobei der Ozondampf in einer Konzentration von 90.000 ppm oder größer vorliegt.Method according to claim 7, wherein the ozone vapor in a concentration of 90,000 ppm or larger. Verfahren gemäß Anspruch 1, wobei der chemisch veränderte Photoresist durch Spülen entfernt wird.Method according to claim 1, the chemically altered Photoresist by rinsing Will get removed. Verfahren gemäß Anspruch 1, wobei der dritte Recktand deionisiertes Wasser ist.Method according to claim 1, wherein the third reactant is deionized water. Verfahren gemäß Anspruch 1, wobei das Photoresist ein durch Ätzen beschädigter Photoresist ist.Method according to claim 1, wherein the photoresist is a photoresist damaged by etching. Verfahren zur Entfernung eines Photoresists von einem Substrat, das folgende Schritte umfaßt: Laden des Substrats in eine Kammer; Injizieren eines ersten Reaktanden in die Kammer und Umwandeln des ersten Reaktanden in einen superkritischen Zustand; Beibehalten des Kontakts zwischen dem Substrat und dem superkritischen ersten Reaktanden; Druck in der Kammer herabsetzen; Injizieren eines zweiten Reaktanden in die Kammer; Beibehalten des Kontakts zwischen dem Substrat und dem zweiten Reaktanden; Spülen der Kammer und Entladen des Substrats; Entfernen des Photoresists; und Trocknen des Substrats, ferner vor dem Injizieren des zweiten Reaktanden ein Laden des Substrats in eine zweite Kammer umfassend, wobei das Beibehalten des Kontakts und das Spülen in der zweiten Kammer erfolgt.Method for removing a photoresist from a substrate comprising the steps of: Loading the substrate in a chamber; Injecting a first reactant into the chamber and Converting the first reactant to a supercritical state; maintain the contact between the substrate and the supercritical first reactants; Reduce pressure in the chamber; Inject a second reactant into the chamber; Maintaining the contact between the substrate and the second reactant; Rinse the Chamber and unloading of the substrate; Removing the photoresist; and Drying the substrate, further before injecting the second Reactants comprising loading the substrate into a second chamber, maintaining the contact and flushing in the second chamber. Verfahren gemäß Anspruch 14, wobei der erste Recktand superkritisches Kohlendioxid ist.Method according to claim 14, wherein the first reactant is supercritical carbon dioxide. Verfahren gemäß Anspruch 15, wobei das superkritische Kohlendioxid eine Temperatur von 100–150°C aufweist und einen Druck von 150–200 bar.Method according to claim 15, wherein the supercritical carbon dioxide has a temperature of 100-150 ° C. and a pressure of 150-200 bar. Verfahren gemäß Anspruch 14, wobei der zweite Recktand ein Recktand auf Ozonbasis ist.Method according to claim 14, wherein the second reactant is an ozone-based reactant. Verfahren gemäß Anspruch 17, wobei der Recktand auf Ozonbasis Ozondampf ist.Method according to claim 17, wherein the ozone-based reactant is ozone vapor. Verfahren gemäß Anspruch 17, wobei ein Unterschied von 10–15° zwischen der zweiten Kammer und dem Reaktanden auf Ozonbasis besteht.Method according to claim 17, with a difference of 10-15 ° between the second chamber and the ozone-based reactant. Verfahren gemäß Anspruch 19, wobei die zweite Kammer eine Temperatur von 105°C aufweist und der Recktand auf Ozonbasis eine Temperatur von 115°C und einen Druck von 60–80 kPa.Method according to claim 19, wherein the second chamber has a temperature of 105 ° C and the reactant on ozone basis a temperature of 115 ° C and a Pressure of 60-80 kPa. Verfahren gemäß Anspruch 17, wobei der Recktand auf Ozonbasis in einer Konzentration von 90.000 ppm vorliegt.Method according to claim 17, with the ozone-based reactant at a concentration of 90,000 ppm is present. Verfahren gemäß Anspruch 14, wobei das Spülen ein Spülen mit deionisiertem Wasser ist.Method according to claim 14, with the rinse a rinse with deionized water. Verfahren gemäß Anspruch 15, wobei das superkritische Kohlendioxid ein Aufquellen, Brechen oder ein Delaminieren des Photoresists bewirkt.Method according to claim 15, where the supercritical carbon dioxide swelling, breaking or causes delamination of the photoresist. Verfahren gemäß Anspruch 18, wobei der Ozondampf das Photoresist zu einem wasserlöslichen Produkt verändert.Method according to claim 18, wherein the ozone vapor, the photoresist to a water-soluble Product changed. Vorrichtung zur Entfernung eines Photoresists von einem Substrat, umfassend: eine erste Kammer zur Behandlung des Photoresists mit einem ersten Reaktanden zur Bewirkung eines Aufquellens, Brechens oder Delaminieren des Photoresists; eine zweite Kammer zur Behandlung des Photoresists mit einem zweiten Reaktanden zur chemischen Veränderung des Photoresists; eine dritte Kammer zum Abspülen des Substrats; eine vierte Kammer zum Trocknen und zum Halten desselben; und Transfermittel zum Transferieren des Substrats zwischen Kammern.Device for removing a photoresist from a substrate comprising: a first chamber for treatment the photoresist with a first reactant to cause swelling, Breaking or delaminating the photoresist; a second chamber for treating the photoresist with a second reactant for chemical change the photoresist; a third chamber for rinsing the substrate; a fourth chamber for drying and holding the same; and Transfer means for transferring the substrate between Chambers. Vorrichtung nach Anspruch 25, wobei das Transfermittel einen Roboterarm einschließt.Apparatus according to claim 25, wherein the transfer means includes a robotic arm. Vorrichtung nach Anspruch 25, wobei der erste Recktand superkritisches Kohlendioxid ist.The device of claim 25, wherein the first reactant edge is supercritical carbon dioxide. Vorrichtung nach Anspruch 27, wobei das superkritische Kohlendioxid eine Temperatur von 100–150°C aufweist und einen Druck von 150–200 bar.The device of claim 27, wherein the supercritical Carbon dioxide has a temperature of 100-150 ° C and a pressure of 150-200 bar. Vorrichtung nach Anspruch 25, wobei der zweite Recktand ein Recktand auf Ozonbasis ist.The apparatus of claim 25, wherein the second reacting edge is an ozone-based reactant. Vorrichtung nach Anspruch 29, wobei der Recktand auf Ozonbasis Ozondampf ist.The device of claim 29, wherein the reactant edge ozone vapor is ozone-based. Vorrichtung nach Anspruch 30 wobei der Ozondampf eine Temperatur von 105–115°C aufweist und einen Druck von 60–80 kPa.Apparatus according to claim 30 wherein the ozone vapor has a temperature of 105-115 ° C. and a pressure of 60-80 kPa. Vorrichtung nach Anspruch 30, wobei die Konzentration des Ozons in einem Ozongenerator 90.000 ppm oder größer ist.The device of claim 30, wherein the concentration ozone in an ozone generator is 90,000 ppm or greater. Vorrichtung nach Anspruch 25, wobei das Abspülen ein Abspülen mit deionisiertem Wasser ist.The apparatus of claim 25, wherein the rinsing a rinse with deionized water. Vorrichtung nach Anspruch 25, wobei der erste Recktand superkritisches Kohlendioxid ist und der zweite Recktand Ozon, die zweite Kammer einen Heizmantel umfaßt, eine Kohlendioxid-Quelle, einen Generator zur Erzeugung von superkritischem Kohlendioxid, einen Zirkulator für superkritisches Kohlendioxid, eine Kohlendioxid-Rückführung, einen Ozongas-Generator, einen Dampfgenerator und einen Auslass.The device of claim 25, wherein the first reactant edge Supercritical carbon dioxide is and the second reactant is ozone, the second chamber comprises a heating jacket, a carbon dioxide source, a generator for generating supercritical carbon dioxide, a circulator for supercritical carbon dioxide, a carbon dioxide recycle, a Ozone gas generator, a steam generator and an outlet. Vorrichtung nach Anspruch 34, wobei der Generator für das superkritische Kohlendioxid eine Kohlendioxid-Kompressionspumpe und eine Kohlendioxid-Heizeinrichtung umfaßt.The apparatus of claim 34, wherein the generator for the Supercritical carbon dioxide is a carbon dioxide compression pump and a carbon dioxide heater includes. Vorrichtung nach Anspruch 25, wobei der erste Recktand superkritisches Kohlendioxid ist und die erste Kammer einen Heizmantel umfaßt, eine Kohlendioxid-Quelle, einen Generator zur Erzeugung von superkritischem Kohlendioxid, einen Zirkulator für superkritisches Kohlendioxid und eine Kohlendioxid-Rückführung.The device of claim 25, wherein the first reactant edge Supercritical carbon dioxide is and the first chamber a heating jacket comprises a carbon dioxide source, a supercritical generator Carbon dioxide, a circulator for supercritical carbon dioxide and a carbon dioxide recycle. Vorrichtung nach Anspruch 36, wobei der Generator für das superkritische Kohlendioxid eine Kohlendioxid-Kompressionspumpe und eine Kohlendioxid-Heizeinrichtung umfaßt.The apparatus of claim 36, wherein the generator for the Supercritical carbon dioxide is a carbon dioxide compression pump and a carbon dioxide heater includes. Vorrichtung nach Anspruch 25, wobei der zweite Recktand ein Recktand auf Ozonbasis ist und die zweite Kammer einen Heizmantel umfaßt, einen Ozongas-Generator, einen Dampfgenerator und einen Auslass.The apparatus of claim 25, wherein the second reacting edge an ozone-based reactant is and the second chamber is a heating mantle comprises an ozone gas generator, a steam generator and an outlet. Vorrichtung nach Anspruch 38, wobei der Recktand auf Ozonbasis Ozondampf ist.The device of claim 38, wherein the reactant edge ozone vapor is ozone-based.
DE102004029077A 2003-06-26 2004-06-16 Apparatus and method for removing a photoresist from a substrate Active DE102004029077B4 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR10-2003-0042133A KR100505693B1 (en) 2003-06-26 2003-06-26 Cleaning method of photoresist or organic material from microelectronic device substrate
KR03-42133 2003-06-26
US10/712775 2003-11-14
US10/712,775 US7431855B2 (en) 2003-06-26 2003-11-14 Apparatus and method for removing photoresist from a substrate
US10/712,775 2003-11-14

Publications (2)

Publication Number Publication Date
DE102004029077A1 DE102004029077A1 (en) 2005-02-24
DE102004029077B4 true DE102004029077B4 (en) 2010-07-22

Family

ID=34107006

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004029077A Active DE102004029077B4 (en) 2003-06-26 2004-06-16 Apparatus and method for removing a photoresist from a substrate

Country Status (2)

Country Link
JP (1) JP4489513B2 (en)
DE (1) DE102004029077B4 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7557073B2 (en) * 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
WO2007037305A1 (en) * 2005-09-29 2007-04-05 Tokyo Electron Limited Substrate processing method
JP5843277B2 (en) * 2011-07-19 2016-01-13 株式会社東芝 Method and apparatus for supercritical drying of semiconductor substrate
JP6168271B2 (en) 2012-08-08 2017-07-26 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
JP6509636B2 (en) * 2015-06-02 2019-05-08 株式会社ディスコ Method of forming gettering layer
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
KR20200056515A (en) 2018-11-14 2020-05-25 삼성전자주식회사 method for drying substrate, photoresist developing method and photolithography method using the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
WO2002011191A2 (en) * 2000-07-31 2002-02-07 The Deflex Llc Near critical and supercritical ozone substrate treatment and apparatus for same
US20020014257A1 (en) * 1999-08-05 2002-02-07 Mohan Chandra Supercritical fluid cleaning process for precision surfaces

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
EP1234322A2 (en) * 1999-11-02 2002-08-28 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
WO2001087505A1 (en) * 2000-05-18 2001-11-22 S. C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
CN1246888C (en) * 2000-08-14 2006-03-22 东京毅力科创株式会社 Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
JP2002313764A (en) * 2001-04-17 2002-10-25 Kobe Steel Ltd High pressure processor
JP2002367943A (en) * 2001-06-12 2002-12-20 Kobe Steel Ltd Method and system for high pressure treatment
JP4844912B2 (en) * 2001-08-01 2011-12-28 野村マイクロ・サイエンス株式会社 Photoresist removal method and removal apparatus
US6782900B2 (en) * 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
JP3978023B2 (en) * 2001-12-03 2007-09-19 株式会社神戸製鋼所 High pressure processing method
AU2003235748A1 (en) * 2002-01-07 2003-07-24 Praxair Technology, Inc. Method for cleaning an article

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US20020014257A1 (en) * 1999-08-05 2002-02-07 Mohan Chandra Supercritical fluid cleaning process for precision surfaces
WO2002011191A2 (en) * 2000-07-31 2002-02-07 The Deflex Llc Near critical and supercritical ozone substrate treatment and apparatus for same

Also Published As

Publication number Publication date
JP2005020011A (en) 2005-01-20
DE102004029077A1 (en) 2005-02-24
JP4489513B2 (en) 2010-06-23

Similar Documents

Publication Publication Date Title
US20090065032A1 (en) Apparatus and method for removing photoresist from a substrate
US6509141B2 (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
DE10056541B4 (en) Process for cleaning quartz substrates using conductive solutions
KR100591220B1 (en) Method of high pressure treatment
DE102006062035B4 (en) A method of removing varnish material after high dose implantation in a semiconductor device
US20030027085A1 (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
DE112005003376T5 (en) Low pressure removal of photoresist and etch residues
DE112006000811B4 (en) Etching process for CD reduction of ARC material
US6802911B2 (en) Method for cleaning damaged layers and polymer residue from semiconductor device
JPS61194834A (en) Etching of polysilicon
DE102004029077B4 (en) Apparatus and method for removing a photoresist from a substrate
US6303482B1 (en) Method for cleaning the surface of a semiconductor wafer
US20040072706A1 (en) Removal of contaminants using supercritical processing
DE69934326T2 (en) METHOD FOR REMOVING ORGANIC MATERIAL OF SUPPORT
DE10334434B4 (en) Method and apparatus for cleaning a semiconductor substrate
JP4810076B2 (en) Substrate processing method and chemical used therefor
DE19631363C1 (en) Aqueous cleaning solution for a semiconductor substrate
DE102009046259A1 (en) Greater adhesion of a PECVD carbon to dielectric materials by providing a bond interface
US6887793B2 (en) Method for plasma etching a wafer after backside grinding
KR20090025689A (en) Stripping of high dose ion-implanted photoresist using cosolvent and additive in supercritical carbon dioxide
US20070026340A1 (en) Method of removing photoresist and photoresist rework method
JP3344391B2 (en) Resist removal method
JPS61112327A (en) Manufacture of semiconductor device
KR100499629B1 (en) Manufacturing Method of Semiconductor Device
KR20230040946A (en) Method and apparatus for removing particles or photoresist on a substrate

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition