DE10132882A1 - Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung - Google Patents

Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung

Info

Publication number
DE10132882A1
DE10132882A1 DE10132882A DE10132882A DE10132882A1 DE 10132882 A1 DE10132882 A1 DE 10132882A1 DE 10132882 A DE10132882 A DE 10132882A DE 10132882 A DE10132882 A DE 10132882A DE 10132882 A1 DE10132882 A1 DE 10132882A1
Authority
DE
Germany
Prior art keywords
reactor
predetermined pressure
reactant
pressure
reaction space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10132882A
Other languages
English (en)
Other versions
DE10132882B4 (de
Inventor
Yeong-Kwan Kim
Young-Wook Park
Seung-Hwan Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE10132882A1 publication Critical patent/DE10132882A1/de
Application granted granted Critical
Publication of DE10132882B4 publication Critical patent/DE10132882B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • Y10T117/1008Apparatus with means for measuring, testing, or sensing with responsive control means

Abstract

Die vorliegende Erfindung sieht ein Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung (atomic layer deposition = ALD) vor. Ein ALD-Reaktor mit einem einzigen Reaktionsraum wird vorgesehen. Ein Stapel von Substraten wird gleichzeitig in den einzigen Reaktionsraum des ALD-Reaktors geladen. DOLLAR A Anschließend wird ein Reaktionsmittel enthaltendes Gas in den einzigen Reaktionsraum eingebracht und ein Teil des Reaktionsmittels wird auf den oberen Oberflächen des Stapels von Substraten innerhalb des einzigen Reaktionsraums chemiesorbiert. Nicht chemisch adsorbiertes Reaktionsmittel wird anschließend aus dem einzigen Reaktionsraum entfernt. DOLLAR A In Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung wird nach dem Einbringen des Reaktionsmittel enthaltenden Gases nicht chemisch adsorbiertes Reaktionsmittel in dem einzigen Reaktionsraum zum Erleichtern der Entfernung des nicht chemisch adsorbierten Reaktionsmittels verdünnt.

Description

HINTERGRUND DER ERFINDUNG 1. Gebiet der Erfindung
Die vorliegende Erfindung betrifft das Gebiet der Halbleitervorrichtungen und insbesondere ein Verfahren zum Ausbilden einer Dünnschicht für eine Halbleitervorrichtung unter Verwendung einer Atomschichtabscheidung (ato­ mic layer desposition = ALD).
2. Beschreibung des Stands der Technik
Das Ausbilden von Dünnschichten bei herkömmlichen hochintegrierten Halbleitervorrichtungen weist viele strenge Herstellungsanforderungen auf, wie beispielsweise ein niedriges Temperaturniveau, hervorragende Stufenabdec­ kung, eine genaue Steuerung der Schichtdicke, eine einfache Prozeßvariablen und eine geringe Teilchenverunreinigung.
Herkömmliche CVD-basierte Verfahren, wie beispielsweise eine chemi­ sche Dampfabscheidung bei einem niedrigen Druck (low-pressure chemical vapor deposition = LPCVD), eine chemische Dampfabscheidung mit verbes­ sertem Plasma (plasma-enhanced chemical vapor deposition = PECVD) sind nicht länger für ein Ausbilden von Dünnschichten auf herkömmlichen Vor­ richtungen geeignet, die die Herstellungsanforderungen erfüllen. Bei einem ty­ pischen CVD-Verfahren wird beispielsweise eine Dünnschicht bei einer relativ hohen Temperatur abgeschieden. Dies ist jedoch unerwünscht, da die Möglich­ keit von nachteiligen thermischen Effekten auf den Vorrichtungen besteht. Ebenso weist die CVD-Dünnschicht oftmals Nachteile wie beispielsweise eine nicht gleichförmige Dicke, d. h., Dickenabweichungen entlang der Oberfläche der Vorrichtung, oder eine Teilchenverunreinigung auf.
Für LPCVD gilt, daß der Wasserstoffgehalt einer LPCVD-Dünnschicht normalerweise hoch ist und ihre Stufenabdeckung oftmals inakzeptabel ist.
Das Atomschichtabscheidungsverfahren (ALD) ist als eine Alternative für derartige Dünnschichtausbildungstechnologien vorgeschlagen worden, da das ALD-Verfahren bei niedrigeren Temperaturen als bei den herkömmlichen CVD-basierten Verfahren durchgeführt werden kann und ebenso eine exzel­ lente Stufenabdeckung aufweist.
Eine dieser ALD-Verfahrenstechnologien ist in dem U. S. Patent Nr. 6,124,158 offenbart. Hierbei wird ein erstes Reaktionsmittel zum Reagieren mit der behandelten Oberfläche eingeführt, um eine gebundene Einzelschicht (bonded monolayer) der reaktiven Art auszubilden. Ein zweites Reaktionsmittel wird eingeführt, um mit der Oberfläche zum Ausbilden einer gewünschten Dünnschicht zu reagieren. Nach jedem Schritt in dem Zyklus wird die Reakti­ onskammer mit einem Inertgas gereinigt, um eine Reaktion mit Ausnahme der Reaktion auf der Oberfläche zu verhindern. Typischerweise wird das Zuführen des Reaktionsmittels und die Reinigung beispielsweise aus Wartungsgründen der Herstellungsgerätschaft bei dem gleichen Druck durchgeführt.
Jedoch weisen derartige herkömmliche ALD-Technologien zahlreiche Nachteile auf, wie beispielsweise einen geringen Durchsatz aufgrund von Pro­ blemen, wie beispielsweise einer relativ geringen Wachstumsrate der Atom­ schichten. Ferner ist der Reaktionsraum bei herkömmlichen ALD-Reaktoren, wie beispielsweise einem Reaktor vom Wanderwellentyp (traveling wave-type reactor) sehr klein gestaltet, um das Reinigungsvolumen zum Reinigen von Nebenprodukte oder dergleichen zu verringern. Somit verarbeiten herkömmli­ che ALD-Reaktoren lediglich ein oder zwei Wafer bei jedem Vorgang, typi­ scherweise ein Substrat für einen Vorgang in einem einzigen Reaktor. Diese Nachteile machen es für zahlreiche herkömmliche ALD-Technologien schwie­ rig, praktisch umgesetzt zu werden und kommerziell akzeptiert zu sein, d. h., in einer Massenproduktion eingesetzt zu werden.
In letzter Zeit wurden zahlreiche Versuche unternommen, den Durchsatz des ALD-Verfahrens zu erhöhen. Ein solcher Versuch ist im U. S. Patent Nr. 6,042,652 offenbart. Hierbei enthält der ALD-Reaktor eine Vielzahl von Modulen und eine Vielzahl von Reaktionsräumen (Stufen), d. h., Räume die durch die Vielzahl der zusammengebauten Module aufgeteilt sind. Beispiels­ weise ist ein unteres Modul unterhalb von einem oberen Modul angeordnet, wodurch ein Reaktionsraum (eine Stufe) zwischen ihnen erzeugt wird, welcher in der Lage ist, lediglich ein Halbleitersubstrat aufzunehmen.
Da jedoch jeder Reaktionsraum (Stufe) klein und aufgeteilt ist, d. h., die Reaktionsräume voneinander getrennt sind, wird jedes Substrat einzeln in einer der Reaktionsräume (Stufen) eingefügt. Somit ist es schwer, einen automati­ sierten Wafertransportmechanismus zum Beladen und Entladen einer Vielzahl von Wafern zu verwenden. Folglich wird eine beträchtlich lange Zeit zum Be­ laden/Entladen der Wafer benötigt. Ebenso ist die Anzahl der Wafer, die gela­ den und verarbeitet werden können, immer noch nicht ausreichend.
Demzufolge ist es klar ersichtlich, daß ein neues ALD-Verfahren benötigt wird, das eine hohe Durchsatzleistung ermöglicht, und das die vorhergehend erwähnten Probleme lösen kann, während es weiterhin qualitativ hochwertige Dünnschichten vorsieht.
KURZFASSUNG DER ERFINDUNG
Die vorliegende Erfindung sieht ein Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung (ALD) vor. Ein Reaktor mit einem einzigen Reaktionsraum wird vorgesehen. Ein Stapel von Substraten wird gleichzeitig in den einzigen Reaktorraum des Reaktors gela­ den.
Anschließend wird ein Reaktionsmittel enthaltendes Gas in den einzigen Reaktionsraum eingebracht, und ein Teil des Reaktionsmittels wird auf den oberen Oberflächen des Stapels von Substraten oder von Wafer innerhalb des einzigen Reaktionsraums chemiesorbiert (chemisch absorbiert). Nicht chemisch absorbiertes Reaktionsmittel wird anschließend aus dem einzigen Reaktions­ raum entfernt.
In Übereinstimmung mit einer Ausführungsform der vorliegenden Erfin­ dung werden nach dem Einführen des Reaktionsmittel enthaltenden Gases nicht chemisch absorbiertes Reaktionsmittel in dem einzigen Reaktorraum verdünnt, um die Entfernung des nicht chemisch absorbierten Reaktionsmittels zu er­ leichtern.
Ebenso wird gemäß einer anderen Ausführungsform der vorliegenden Er­ findung ein Verfahren zum Ausbilden einer Dünnschicht offenbart, bei welcher ein Reaktor mit einem einzigen Reaktorraum vorgesehen wird. Ein Vielzahl von Wafern, von denen jeder eine Verarbeitungsoberfläche (d. h. die zu verar­ beitende Oberfläche, auf der die Dünnschicht ausgebildet wird) aufweist, wer­ den in den Reaktionsraum eingeführt. Die Verarbeitungsoberflächen der Viel­ zahl von Wafern schauen im wesentlichen in die gleiche Richtung. Ein erstes Reaktionsmittel wird in den Reaktionsraum eingebracht, so daß ein Teil des er­ sten Reaktionsmittels auf den Verarbeitungsoberflächen der Vielzahl von Wa­ fern für eine ALD chemisch absorbiert wird. Anschließend wird ein chemisch nicht absorbierter Teil der ersten Reaktionsmittels aus dem Reaktionsraum ent­ fernt. Als nächstes wird ein zweites Reaktionsmittel in den Reaktionsraum ein­ gebracht. Ebenso wird ein Teil des zweiten Reaktionsmittels auf der Verarbei­ tungsoberfläche jedes Wafers chemisch absorbiert. Anschließend wird ein nicht chemisch absorbierter Teil des zweiten Reaktionsmittels aus dem Reaktions­ raum entfernt.
Die vorhergehenden und andere Aufgaben, Merkmale und Vorteile der Erfindung werden aus der folgenden detaillierten Beschreibung bevorzugter Ausführungsformen der Erfindung im Zusammenhang mit der begleiteten Zeichnung besser ersichtlich.
KURZE BESCHREIBUNG DER ZEICHNUNG
Fig. 1 zeigt eine Querschnittsansicht eines ALD-Reaktors in Übereinstimmung mit einer ersten Ausführungsform der vorliegenden Erfindung.
Fig. 2 zeigt einen Graphen, der den Druck des ALD-Reaktors bei jedem Schritt des ALD-Verfahrens in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung darstellt.
Fig. 3A-3D zeigen die Verfahrensschritte zum Ausbilden einer ALD-Dünnschicht gemäß einer Ausführungsform der vor­ liegenden Erfindung.
Fig. 4 zeigt einen Graphen, der Verfahrensbedingungen bzw. Verfahrenszustände in Übereinstimmung mit einer Aus­ führungsform der vorliegenden Erfindung darstellt.
Fig. 5 zeigt einen Graphen, der das Ergebnis des ALD-Verfahrens darstellt, das in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung durchgeführt worden ist.
Fig. 6 zeigt einen Graphen, der das Ergebnis des ALD-Verfahrens darstellt, das in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung durchgeführt worden ist.
DETAILLIERTE BESCHREIBUNG
Die vorliegende Erfindung betrifft allgemein ein Verfahren zur Herstel­ lung einer Dünnschicht mit Hilfe eines ALD-Verfahrens, durch welches eine Durchsatzleistung im Vergleich mit den herkömmlichen ALD-Verfahren er­ heblich verbessert werden kann.
Bei der folgenden Beschreibung sind zahlreiche bestimmte Details darge­ stellt, um ein vollständiges Verständnis der vorliegenden Erfindung vorzuse­ hen. Jedoch ist für den Fachmann ohne weiteres erkennbar, daß die Erfindung auch ohne diese spezifischen Details durchgeführt werden kann. An einigen Stellen sind allgemein bekannte Verfahrensschritte und Techniken nicht im Detail dargestellt worden, um die vorliegende Erfindung nicht unverständlich werden zu lassen.
Im Folgenden wird ein Verfahren zum Ausbilden einer Dünnschicht un­ ter Verwendung von ALD in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindungsform beschrieben.
Gemäß Fig. 1, wird schematisch ein ALD-Reaktor 10 mit einem einzigen Reaktionsraum 12 innerhalb einer rohrförmigen Prozeßvorrichtung 11 gezeigt.
Andere Teile des Reaktors 10, wie beispielsweise eine Heizvorrichtung, sind aus Gründen der Einfachheit weggelassen worden. Vorzugsweise ist der ALD-Reaktor 10 ein Ofentyp-Vertikalreaktor (vertikalorientiert), der den her­ kömmlichen LPCVD-Öfen, wie sie in U. S. Patent Nr. 5,217,340 und 5,112,641 gezeigt sind, ähnlich ist, jedoch kann irgendein anderer Reaktortyp, beispiels­ weise ein horizontal orientierter, welcher für die Umsetzung der vorliegenden Erfindung geeignet ist, verwendet werden, ohne den Inhalt und den Umfang der vorliegenden Erfindung zu verlassen.
Gemäß der vorliegenden Erfindung meint der Reaktorraum 12 einen Raum, in dem Substrate 15 (oder Wafer) angeordnet sind, und in dem zahlrei­ che Verfahrenssequenzen des ALD-Verfahrens auftreten. Ebenso ist bei der vorliegenden Erfindung der einzige Reaktionsraum 12 nicht aufgeteilt oder ge­ trennt. Er unterscheidet sich somit im Vergleich zu dem Reaktionsraum von herkömmlichen Reaktoren, wie sie beispielsweise in U. S. Patent Nr. 6,042,552 und 6,015,590 gezeigt sind, bei denen zahlreiche (aufgeteilte) Reaktionsräume in einem ALD-Reaktor vorhanden sind. Bei diesen herkömmlichen ALD-Reaktoren, insbesondere den im U. S. Patent 6,015,590 gezeigten, ist die Zahl der Substrate, die in jedem Reaktionsraum angeordnet werden können sehr klein, beispielsweise ein oder zwei Substrate pro Reaktionsraum, da jede der zahlreichen (aufgeteilten) Reaktionsräume einen sehr engen Querschnitt zur Minimierung des Volumens des Reaktionsraums für eine Reinigungseffizienz aufweist. Ebenso beschränkt dieser Aspekt herkömmlicher ALD-Reaktoren aufgrund der strukturellen Beschränkungen, wie sie vorstehend beschrieben worden sind, die Gesamtzahl an Substraten, die in einem Reaktor angeordnet werden können. Zum Beispiel benötigen die in U. S. Patent Nr. 6,042,652 ge­ zeigten Module, welche jeden Reaktionsraum ausbilden, selbst einen beträcht­ lichen Raumbetrag oder Volumen innerhalb des Reaktors. Dies kann den Durchsatz des ALD-Verfahrens ernsthaft verringern.
Da jedoch bei der vorliegenden Erfindung der ofenartige ALD-Reaktor 10 einen einzigen großvolumigen Reaktionsraum 12 aufweist, der nicht aufgeteilt ist, kann der ALD-Reaktor 10 mehr als einhundert (100) Substrate darin aufnehmen, wie in Fig. 1 dargestellt. Somit kann die Anzahl an Substraten, die mit einem einzigen ALD-Vorgang verarbeitet werden können beträchtlich erhöht werden (beträchtliche Erhöhung der Durchsatzleistung).
Zum Ausbilden von ALD-Dünnschichten auf den Substraten 15 wird ein Stapel 14 von Substraten 15 im wesentlichen gleichzeitig in den einzigen Re­ aktorraum 12 des ALD-Reaktors 10 geladen, wie Fig. 1 schematisch darge­ stellt. Bei der vorliegenden Erfindung kann ein Stapel 14 eine Gesamtzahl an Substraten bedeuten, die in dem Reaktor 10 für einen ALD-Vorgang zum Aus­ bilden einer Dünnschicht auf den Substraten geladen wird. In Übereinstim­ mung mit einer Ausführungsform der vorliegenden Erfindung weist ein Stapel 14 vorzugsweise ungefähr 125-135 Substrate auf. Jedes der Substrate 15 weist eine Verarbeitungsoberfläche 17, vorzugsweise an seiner Oberseite, auf.
Bei dem erfindungsgemäßen ALD-Verfahren wird während dem Bela­ den/Entladen der Substrate 15, der Stapel 14 von Substraten 15 in den ALD-Reaktor 10 unter Verwendung eines automatischen (d. h. nicht­ manuellen) Wafertransportmechanismus 18 geladen, wie es in Fig. 1 schema­ tisch dargestellt ist. Als derartiger automatischer Wafertransportmechanismus 18 kommt einer in Frage, wie er in U. S. Patent Nr. 5,217,340 und 5,112,641 of­ fenbart ist. Innerhalb des Inhalts und des Umfangs der vorliegenden Erfindung kann jedoch auch irgendein anderer Typ eines automatischen Wafertransport­ mechanismus verwendet werden, der für die Umsetzung der vorliegenden Er­ findung geeignet ist.
Mit anderen Worten, da bei der vorliegenden Erfindung alle Produktsub­ strate 15 für einen einzigen ALD-Vorgang in dem einzigen Reaktionsraum 12 angeordnet werden können, ohne daß sie auf unterschiedliche Reaktionsräume in einem Reaktor aufgeteilt werden, kann die Beladen/Entladung eines Stapels 14 von Substraten 15 automatisch und schnell durch den Wafertransportme­ chanismus 18 durchgeführt werden. Insbesondere kann der Stapel 14 von Sub­ straten in einer vorbestimmten Art und Weise angeordnet werden, und in einem Boot (boat) 19 eingefügt werden. Das Boot 19, das typischer Weise aus Quarz oder einem anderen herkömmlichen Material ausgebildet ist, weist eine Viel­ zahl von Nuten auf seiner inneren Oberfläche auf, um jedes der Substrate 15 aufzunehmen. Das Boot 19, das den Substratstapel 14 enthält, wird in den ALD-Reaktor 10 geladen, womit ein gleichzeitiges Beladen des Stapels 14 aus Substraten 15 in den einzigen Reaktionsraum 12 des ALD-Reaktors 10 in einer Art und Weise erreicht wird, wie sie in Fig. 1 beschrieben ist. Hierbei schauen im wesentlichen alle oberen Oberflächen 17 (Verarbeitungsoberflächen) der Substrate 15 für einen automatischen Wafertransport in die gleiche Richtung.
Damit wird ein wichtiger Vorteil erzielt, insbesondere in Bezug auf einen Durchsatz, gegenüber herkömmlichen ALD-Techniken, von denen beispiels­ weise eine in dem U. S. Patent Nr. 6,015,590 offenbart ist, bei dem die oberen Oberflächen der Wafer in gegenüberliegenden Richtungen schauen, so daß ein automatischer Wafertransport ziemlich umständlich oder unmöglich ist. Somit können bei herkömmlichen ALD-Technologien lediglich eine kleine Anzahl an Substraten, meistens nur eins, nacheinander in jeden Reaktorraum angeordnet werden. Dies kommt daher, da die Substrate über die zahlreichen Reaktorräume in einem Reaktor verteilt werden müssen und die Verteilung nahezu unmöglich oder zumindest schwierig auf einmal durchzuführen ist. Dies gilt auch für eine herkömmliche ALD-Technik, die in dem U. S. Patent Nr. 6,042,652 offenbart ist, bei der eine Vielzahl von kreisförmigen Halbleitersubstraten einzeln nach­ einander in die Reaktionsräume (Stufen) transportiert werden, wie es bei dem Hintergrund bereits beschrieben worden ist. Der gesamte Beladungsprozeß be­ nötigt eine lange Zeitdauer, was den Durchsatz beträchtlich verringert und so­ mit die kommerzielle Anwendung des ALD-Verfahrens einschränkt.
Wie in Fig. 3 schematisch dargestellt, wird, wie bei herkömmlichen ALD-Technologien, ein erstes Reaktionsmittel 40 oder ein erstes Reaktions­ mittel enthaltenes Gas durch den Einlaß 16 in Fig. 1, wie beispielsweise einer Gaszuführleitung (nicht gezeigt) des ALD-Reaktors 10 in den einzigen Reak­ torraum 12 eingeführt (Dosierungsschritt). Somit wird ein Teil des ersten Re­ aktionsmittels 40 auf den Verarbeitungsoberflächen 17 des Stapels 14 von Sub­ straten 15 innerhalb des einzigen Reaktionsraums 12 chemisch absorbiert (chemiesorbiert). Wie in Fig. 2 gezeigt, wird der Dosierungsschritt 31 bevor­ zugt bei einem ersten vorbestimmten Druck P1, der zwischen ungefähr 0,1 Torr und 0,5 Torr liegt, durchgeführt.
Um andererseits bei der vorliegenden Erfindung den Durchsatz von ALD weiter zu erhöhen, muß die Reinigungszeit für ALD verringert werden. Dies kommt daher, da im allgemeinen die Reinigungszeit von dem Volumen eines Reaktors abhängig ist. Da die vorliegende Erfindung einen ofenartigen Reaktor mit einem großen Volumen verwendet, ist das Reinigungsvolumen beträchtlich größer als bei anderen herkömmlichen ALD-Techniken, wie beispielsweise bei der Vorrichtung vom Wanderwellentyp, der im U. S. Patent Nr. 6,042,552 oder 6,015,590 gezeigt worden ist.
Um das Problem zu lösen, wird mit einer Ausführungsform der vorlie­ genden Erfindung nach dem Einführen des ersten Reaktionsmittels 40 zur ef­ fektiven Verringerung der Reinigungszeit ein nicht chemisch absorbierter Teil des ersten Reinigungsmittels 40 in den einzigen Reaktionsraum 12 für eine Entfernung des nicht chemisch absorbierten Teils des ersten Reaktionsmit­ tels 40 aus dem ALD-Reaktors 10 verdünnt. Hierbei enthält der nicht chemi­ sche absorbierte Teil des ersten Reaktionsmittels 40 ein physikalisch absor­ biertes (physisorbiertes) Reaktionsmittel, d. h., ein erstes Reaktionsmittel 40 haftet daran physikalisch, und wird locker an den chemisorbierten Teil des er­ sten Reaktionsmittels 40 oder irgendeinem restlichem reaktiven Material inner­ halb des ALD-Reaktors 10 gehalten.
Für den Verdünnungsschritt 33 in Fig. 2 enthält der in Fig. 1 gezeigte ALD-Reaktor 10 ein Drucksteuerventil 21, das mit einer Auslaßleitung 25 oder einer Grobleitung zum Entfernen des verdünnten nicht chemisch absorbierten Teils des ersten Reaktionsmittels 40 aus dem ALD-Reaktor 10 verbunden ist. Die Auslaßleitung 25 ist mit einer Pumpe 23 zum Abpumpen des nicht che­ misch absorbierten Teils des ersten Reaktionsmittels 40 aus dem Reaktor 10 nach Außen verbunden. Während des Verdünnungsschritts 33, ist das Steuer­ ventil 21 im wesentlichen geschlossen und ein Inertgas wird durch einen Einlaß 16 in den Reaktor 10 zugeführt, und die Einbringung des ersten Reaktionsmit­ tels in den ALD-Reaktor 10 wird im wesentlichen gestoppt. D. h., eine Leitfä­ higkeit der Auslaßleitung 25 des ALD-Reaktors 10 ist verringert.
Alternativ wird während des Verdünnungsschritts 33 ein Inertgas mit ei­ ner Menge, die beträchtlich mehr als die Menge des ersten Reaktionsmittels 40 ist, in den ALD-Reaktor 10 eingebracht, während die Einbringung des ersten Reaktionsmittels 40 in den Reaktor 10 gestoppt wird.
Wie in Fig. 2 dargestellt wird der Reaktordruck während der Verdünnung des nicht chemisch absorbierten Teils des ersten Reaktionsmittels 40 vorzugs­ weise von einem ersten vorbestimmten Druck P1 auf einen zweiten vorbe­ stimmten Druck P2 erhöht und somit ist der zweite vorbestimmte Druck P2 größer als der erste vorbestimmte Druck P1. Vorzugsweise ist der zweite vor­ bestimmte Druck P2 größer als ungefähr das 1,5-fache des ersten vorbestimm­ ten Drucks P1.
Diese Schritte ermöglichen es, daß der nicht chemisch absorbierte Teil des ersten Reaktionsmittel 40 in dem Reaktor 10 in einem sehr kurzen Zeit­ raum, beispielsweise wenige Sekunden, verdünnt wird, so daß die gesamte Reinigungszeit und die Reinigungseffizienz während eines Reinigungsschritts 32 verglichen mit herkömmlichen ALD-Techniken drastisch verringert ist. Die­ ser Verdünnungsprozeß reduziert den Partialdruck des nicht chemisch absor­ bierten Teils des chemischen Reaktionsmittels 40 in dem ALD-Reaktor 10 be­ trächtlich. Somit verbleibt lediglich eine sehr kleine Menge des nicht chemisch absorbierten Teils des ersten Reaktionsmittels 40 nach dem Entfernen des nicht chemisch absorbierten Teils des ersten Reaktionsmittel 40 in dem Reaktor 10, da das Reaktionsmittel 40 bereits verdünnt ist, so daß eine Reinigungseffizienz maximiert wird. Da das erste Reaktionsmittel 40 verdünnt ist, kann ebenso eine Vermischung zwischen dem ersten Reaktionsmittel 40 ausreichend verhindert werden.
Anschließend wird, wie in Fig. 3B dargestellt, der verdünnte nicht che­ misch absorbierte Teil des ersten Reaktionsmittels 40 auf dem einzigen Reakti­ onsraum 12 vor einem Einbringen eines zweiten Reaktionsmittels 42 (Dosie­ rungsschritt 35) entfernt (evakuiert), um eine gewünschte ALD-Dünnschicht 44 in Fig. 3D durch einen chemischen Austausch auszubilden. Vorzugsweise wird ein Entfernen des nicht chemisch absorbierten Teils des ersten Reaktionsmittels 40 durch ein Abpumpen des Reaktors 10 unter Verwendung der Pumpe 23 durchgeführt, wodurch der Druck des Reaktors 10 auf einen dritten vorbe­ stimmten Druck P3 (siehe Fig. 2) erniedrigt wird. Der dritte vorbestimmte Druck P3 ist niedriger als der erste vorbestimmte Druck P1 des Dosierungs­ schritts 31. Vorzugsweise ist der dritte vorbestimmte Druck P3 niedriger als ungefähr das 0,5-fache des ersten vorbestimmten Drucks P1.
Während dieses Schritts kann die Erniedrigung des Drucks auf einen dritten vorbestimmten Druck P3 durch ein Stoppen oder Reduzieren der Ein­ bringung von Inertgas und der Öffnung des Steuerventils 21 erzielt werden. D. h., die Leitfähigkeit der Auslaßleitung ist erhöht.
Gemäß Fig. 3C wird nun das zweite Reaktionsmittel 42 in den Reaktions­ raum 12 eingebracht und somit ein Teil des zweiten Reaktionsmittels auf den Verarbeitungsoberflächen 17 des Stapels 14 von Substraten 15 chemiesorbiert, um einen chemischen Austausch zu bewirken. Natürlich wird der Verdün­ nungsschritt 37 vorzugsweise nach der Dosierungsschritt 35 des zweiten Reak­ tionsmittels 42 durchgeführt.
Gemäß Fig. 3D wiederum, wird ein chemisch nicht absorbierter Teil des zweiten Reaktionsmittels 42 aus dem Reaktionsraum 12 unter Verwendung des gleichen Verfahrens, das bei dem ersten Reaktionsmittel 40 während des Ent­ fernungsschritts 34 angewendet worden ist und zuvor beschrieben worden ist, entfernt (Entfernungsschritt 38 in Fig. 2).
Die obigen Schritte eines Einbringens des ersten und zweiten Reaktions­ mittels 40, 42 und ein Entfernen der nicht chemisorbierten Teile der Reakti­ onsmittel 40, 42 aus dem Reaktionsraum 12 kann zum Erzielen einer ge­ wünschten Schichtdicke wiederholt ausgeführt werden.
Festzuhalten ist, daß das Reinigungsverfahren, das durch die vorliegende Erfindung vorgeschlagen wird, nicht von der Art des Reaktionsmittels abhängt, und somit für eine Ausbildung von zahlreichen ALD-Dünnschichten verwendet werden kann. Derartige ALD-Dünnschichten sind beispielsweise eine Oxid­ schicht aus Al2O3, TiO2, ZrO2, HfO2, Ta2O5, Nb2O5, CeO2, Y2O3, SiO2, In2O3, RuO2, oder IrO2. Andere Beispiele sind Folgende: eine Verbundoxidschicht aus SrTiO3, PbTiO3, SrRuO3, CaRuO3, (Ba,Sr)TiO3, Pb(Zr,Ti)O3, (Pb,La)(Zr,Ti)O3, (Sr,Ca)RuO3, (Ba,Sr)RuO3, Sn dotiertes In2O3(ITO), Fe dotiertes In2O3, oder Zr dotiertes In2O3: eine Nitridschicht aus SiN, NbN, ZrN, TiN, TaN, Ya3N5, AlN, GaN WN, oder BN: eine komplexe Nitridschicht aus WBN, WSiN, TiSiN, Ta­ SiN, oder AlTiN: eine Metallschicht aus Si, Al, Cu, Ti, Ta, Mo, Pt, Ru, Rh, Ir, W oder Ag: eine Silizidschicht aus Al, W, Ti oder Co: ein Metallsilikatmaterial (M1-xSixO2). Hierbei kann das Metall "M" Hafnium (Hf), Zirkonium (Zr), Tan­ tal (Ta), Titan (Ti), Cäsium (Cs) oder Aluminium (Al) sein. Der Durchschnitts­ fachmann erkennt dabei, daß diese Liste weder erschöpfend noch ausschließ­ lich ist, und wird sie daher in keiner Weise für den Umfang der Erfindung, wie er beansprucht ist, begrenzend auslegen.
Beispiel 1
Eine SiN-Schicht wird durch das erfindungsgemäße ALD-Verfahren ab­ geschieden. Als Reaktionsmittel werden DCS (SiCl2H2) oder NH3-Gase ver­ wendet, die durch ein Remote Plasma (400 W) aktiviert werden. Die Abschei­ dungstemperatur beträgt 375°C. Die Flußrate des Reaktionsmittels beträgt für DCS 500 sccm und für Ammoniak NH3 2000 sccm. Zum Verdünnen vor einem Entfernen des nicht chemisch absorbierten Reaktionsmittels werden 5000 sccm N2-Gas in den Reaktor eingebracht. Die Zeit und der Druck für jeden Schritt der DCS-Zuführung, DCS-Reinigung, NH3-Zuführung und NH3-Reinigung wird in Tabelle 1 gezeigt und ferner in Fig. 4 dargestellt. Ebenso zeigt Fig. 5 die Ergebnisse des obigen ALD-Verfahrens.
Tabelle 1
Die Wachstumsrate beträgt in Übereinstimmung mit dem vorgehend be­ schriebenen ALD-Verfahren 1 Å pro Zyklus, und eine gute ALD-Verfahrenscharakteristik kann erzielt werden.
Ferner ist beobachtet worden, daß ohne Verwendung des Reinigungsver­ fahrens der vorliegenden Erfindung, folgende Probleme auftauchen können. Er­ stens: Falls der Reinigungsschritt mit einem Inertgas wie beispielsweise Ar oder N2 bei dem gleichen Druck wie dem Druck, während des Dosierungs­ schritts des Reaktionsmittels durchgeführt wird, kann eine beträchtliche Menge des Inertgases in dem Reaktor verbleiben. Dies verringert den Partialdruck des Reaktionsmittels. Demzufolge kann die Reaktionsmitteldosierzeit für den näch­ sten Dosierungsschritt erhöht sein. Außerdem wird ebenso die Reinigungszeit erhöht. Zweitens: Falls die Reinigung lediglich durch Pumpen ohne Verdünnen vor dem Pumpen, wie es bei einer Ausführungsform der vorliegenden Erfin­ dung stattfindet, durchgeführt wird, benötigt die Reinigung eine beträchtlich lange Zeit.
Beispiel 2
HCD (Si2Cl6) wird in einer Rührvorrichtung bei Zimmertemperatur ge­ speichert und mit 500 sccm des N2-Gases als ein Trägergas in einen Reaktor eingebracht. Anschließend wird eine Reinigung durch ein Verdünnen des nicht chemisch absorbierten Reaktionsmittels mit 5000 sccm des N2-Gases durchge­ führt und anschließend das chemisch nicht absorbierte Reaktionsmittel aus dem Reaktor abgepumpt (entfernt). Als nächstes wird 2000 sccm eines Remote Plasmas (400 W) NH3 zugeführt, dann die Reinigung durch Verdünnen des nicht chemisch absorbierten Reaktionsmittels mit 5000 sccm des N2-Gases durchgeführt und anschließend das nicht chemisch absorbierte Reaktionsmittel aus dem Reaktor abgepumpt (entfernt).
Zu diesen Zeitpunkt wird dem Reaktor für 20 Sekunden HCD zugeführt. Der Reaktordruck verändert sich von 0,1 Torr auf 2 Torr und wird dann auf 2 Torr gehalten. Der Druck während des Reinigens wird von 2 Torr auf 10 Torr während des Verdünnungsschritts (4 Sekunden) verändert und anschließend auf 0,1 Torr während des Pumpens (6 Sekunden) erniedrigt. Das Zuführen von NH3 (30 Sekunden) und Reinigen (4 + 6 Sekunden) wird auf die gleiche Art und Weise wie zuvor beschrieben realisiert. Fig. 6 zeigt die Ergebnisse des obigen ALD-Verfahrens.
Die Wachstumsrate betrug 2,3 Å pro Zyklus und eine gute ALD-Verfahrenscharakteristik wurde erzielt.
Einige der Eigenschaften der vorliegenden Erfindung können wie folgt beschrieben werden:
  • 1. Der Reaktordruck während des Dosierungsschritts und während des Reinigungsschritts können unterschiedlich sein.
  • 2. Der Reaktordruck für jeden Dosierungsschritt für unterschiedliche Reaktionsmittel kann im wesentlichen der gleiche sein oder unter­ schiedlich sein.
  • 3. Der Reinigungsschritt scheint einen Verdünnungsschritt zu ent­ halten, bei dem der Reaktordruck sich von dem Druck während des Dosierungsschritts des Reaktionsmittels aus erhöht, und einen Entfernungs- oder Evakuierungsschritt enthalten, dessen Druck sich auf einen niedrigeren Druck als den Druck während des Do­ sierungsschritts des Reaktionsmittels verringert.
Durch Verwendung dieser Eigenschaften, kann der folgende Effekt er­ zielt werden.
  • 1. Der Dosierungsschritt jedes Reaktionsmittels ist abhängig vom Partialdruck und der Zeit (Reaktionsmittelfreilegungsabhängig­ keit (reaction exposure dependency) nach Langmuire). Demzufol­ ge kann die Verarbeitungszeit durch ein Erhöhen des Par­ tialdrucks des zugeführten Reaktionsmittels während der Reakti­ onsmitteldosierung verringert werden.
  • 2. Im Unterschied zu dem herkömmlichen ALD-Verfahren, bei dem ein konstanter Druck aufrecht erhalten werden muß, wird der Do­ sierungsschritt jedes Reaktionsmittels nach dem Reinigen durch Pumpen erzielt. Daher kann der gewünschte Druck von dem nied­ rigerem Druck erreicht werden.
  • 3. Wenn die Reinigung innerhalb eines Reaktors mit einem großen Volumen realisiert wird, wird zuerst ein Inertgas zum Verdünnen des Reaktionsmittels angewendet. Anschließend wird das Pumpen durchgeführt, um den gewünschten Grad des Reinigungseffekts innerhalb einer kurzen Zeitdauer zu erreichen.
Zusammenfassend läßt sich sagen, daß die vorliegende Erfindung viele Vorteile gegenüber den herkömmlichen ALD-Techniken aufweist, und viele Nachteile der herkömmlichen ALD-Techniken überwindet. Z. B. erhöht die vorliegende Erfindung den Durchsatz des ALD-Verfahrens beträchtlich. Da der ofenartige ALD-Reaktor der vorliegenden Erfindung einen großvolumigen ein­ zelnen Reaktionsraum ohne Aufteilung aufweist, kann er insbesondere gemäß den bevorzugten Ausführungsformen der vorliegenden Erfindung mehr als 100 Substrate auf einmal aufnehmen und verarbeiten, wesentlich mehr als alle an­ deren herkömmlichen ALD-Techniken. Da Produktwafer für ein ALD-Verfahren in einem einzigen Reaktionsraum angeordnet werden können, und nicht über zahlreiche Reaktionsräume in einem Reaktor verteilt sind, kann ebenso das Beladen/Entladen des Substratstapels automatisch und schnell durch einen automatischen Wafertransportmechanismus durchgeführt werden. Ferner werden nicht chemisch absorbierte Reaktionsmittel in einem einzigem Reaktionsraum vor einem Entfernen der nicht chemisch absorbierten Reakti­ onsmittel aus dem Reaktionsraum verdünnt, wodurch die Reinigungszeit be­ trächtlich verringert werden kann und eine Reinigungseffizienz maximiert wird.
Neben diesen Vorteilen ist der erfindungsgemäße ALD-Reaktor wesent­ lich kostengünstiger als die herkömmlichen ALD-Reaktoren und leichter zu warten. Somit erhöht das erfindungsgemäße ALD-Verfahren den Durchsatz und Herstellbarkeit in einem Ausmaß, daß eine Massenproduktion mit ALD möglich ist.
Obwohl die Grundlagen der Erfindung in einer bevorzugten Ausfüh­ rungsform davon beschrieben und dargelegt worden sind, ist es klar ersichtlich, daß die Erfindung im Aufbau und im Detail ohne einem Abweichen von diesen Grundlagen modifiziert werden kann. Wir beanspruchen daher alle Modifika­ tionen und Abwandlungen, die in den Inhalt und Umfang der beiliegenden An­ sprüche fallen.

Claims (41)

1. Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschich­ tabscheidung (ALD), das aufweist:
Vorsehen eines Reaktors mit einem einzigen Reaktionsraum;
gleichzeitiges Laden eines Stapels von Substraten in den einzigen Reaktions­ raum des Reaktors;
Einbringen eines Reaktionsmittel enthaltenden Gases in den einzigen Reaktions­ raum, und chemisches Absorbieren eines Teils des Reaktionsmittels auf der obe­ ren Oberfläche des Substrats innerhalb des einzigen Reaktionsraums; und
Entfernen von nicht chemisch absorbierten Reaktionsmittel aus dem einzigen Reaktionsraum.
2. Verfahren nach Anspruch 1, das weiterhin nach dem Einbringen des Reaktionsmittel enthaltenden Gases ein Verdünnen des nicht chemisch absorbierten Reaktionsmittels in dem einzigen Reaktionsraum aufweist.
3. Verfahren nach Anspruch 2, wobei das Einbringen des Reaktionsmittel enthaltenden Gases bei einem ersten vorbestimmten Druck durchgeführt wird und das Verdünnen bei einem zweiten vorbestimmten Druck durchgeführt wird, und wobei der zweite vorbestimmte Druck größer als der erste vorbestimmte Druck ist.
4. Verfahren nach Anspruch 3, wobei der erste vorbestimmte Druck zwischen ungefähr 0,1 Torr und ungefähr 0,5 Torr liegt.
5. Verfahren nach Anspruch 3, wobei der zweite vorbestimmte Druck größer als unge­ fähr das 1,5-fache des ersten vorbestimmten Drucks ist.
6. Verfahren nach Anspruch 2, wobei das Einbringen des Reaktionsmittel enthaltenden Gases bei einem ersten Druck durchgeführt wird,
wobei das Entfernen ein Abpumpen des Reaktors aufweist, wodurch der Druck des Reaktors auf einen dritten vorbestimmten Druck abgesenkt wird, und
wobei der dritte vorbestimmte Druck niedriger als der erste vorbestimmte Druck ist.
7. Verfahren nach Anspruch 6, wobei der dritte vorbestimmte Druck weniger als das ungefähr 0,5-fache des ersten vorbestimmten Drucks ist.
8. Verfahren nach Anspruch 1, wobei das Laden ein Transferieren des Stapels von Substraten unter Verwendung eines automatischen Wafertransportmechanismus aufweist.
9. Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschich­ tabscheidung (ALD) das aufweist:
Vorsehen eines Halbleitersubstrats in einem Reaktor;
Einbringen eines Reaktionsmittel enthaltenden Gases in den Reaktor bei einem er­ sten vorbestimmten Druck, und chemisches Absorbieren eines Teils des Reakti­ onsmittels auf der Substratoberfläche;
Verdünnen von nicht chemisch absorbierten Reaktionsmittel in dem Reaktor, so daß der Druck des Reaktors sich auf einen zweiten vorbestimmten Druck erhöht; und
Entfernen des verdünnten nicht chemisch absorbierten Reaktionsmittels aus dem Reaktor.
10. Verfahren nach Anspruch 9, wobei der erste vorbestimmte Druck zwischen unge­ fähr 0,1 Torr und ungefähr 0,5 Torr liegt.
11. Verfahren nach Anspruch 9, wobei der zweite vorbestimmte Druck größer als das ungefähr 1,5-fache des ersten vorbestimmten Drucks beträgt.
12. Verfahren nach Anspruch 9, wobei das Entfernen durch Abpumpen des Reaktors durchgeführt wird, wodurch der Druck des Reaktors auf einen dritten vorbe­ stimmten Druck erniedrigt wird, und
wobei der dritte vorbestimmte Druck niedriger als der erste vorbestimmte Druck ist.
13. Verfahren nach Anspruch 12, wobei der dritte vorbestimmte Druck niedriger als ungefähr das 0,5-fache des ersten vorbestimmten Drucks ist.
14. Verfahren nach Anspruch 9, wobei der Reaktor ein Drucksteuerventil enthält, das mit einer Auslaßleitung zum Entfernen des verdünnten nicht chemisch absorbier­ ten Reaktionsmittel verbunden ist, und wobei das Verdünnen im wesentlichen ein Schließen des Steuerventils und Zuführen eines Inertgas in den Reaktor aufweist, während die Einführung des Reaktionsmittel enthaltenden Gases in den Reaktor im wesentlichen gestoppt ist.
15. Verfahren nach Anspruch 9, wobei der Reaktor ein Drucksteuerventil enthält, das mit der Auslaßleitung verbunden ist, und wobei das Verdünnen ein Zuführen ei­ nes Inertgases in einer Menge aufweist, die wesentlich größer als die Menge des gasförmigen Reaktionsmittels ist, das in den Reaktor während des Stoppens der Einbringung des gasförmigen Reaktionsmittels in den Reaktor eingebracht wor­ den ist.
16. Verfahren zum Ausbilden einer Dünnschicht unter Verwendung von ALD, das aufweist:
Vorsehen einer Vielzahl von Wafern bzw. Substraten in einem einzigen Reaktor;
Einbringen eines gasförmigen Reaktionsmittels in den einzigen Reaktor bei ei­ nem ersten vorbestimmten Druck, und chemisches Absorbieren eines Teils des Reaktionsmittels an oberen Oberflächen der Vielzahl von Substraten;
Verdünnen von nicht chemisch absorbierten Reaktionsmittel in dem einzigen Reaktor bis zu einem zweiten vorbestimmten Druck; und
Entfernen des verdünnten nicht chemisch absorbierten Reaktionsmittels aus dem einzigen Reaktor,
wobei der zweite vorbestimmte Druck größer als der erste vorbestimmte Druck ist.
17. Verfahren nach Anspruch 16, wobei der Reaktor ein Drucksteuerventil enthält, das mit der Auslaßleitung verbunden ist, und, wobei das Verdünnen im wesentli­ chen ein Schließen des Steuerventils und ein Zuführen von Inertgas in den Reak­ tor aufweist, während die Einbringung des gasförmigen Reaktionsmittels in den Reaktor gestoppt ist.
18. Verfahren nach Anspruch 16, wobei der Reaktor ein Drucksteuerventil enthält, das mit einer Auslaßleitung verbunden ist, und wobei das Verdünnen ein Zufüh­ ren von Inertgas in einer Menge aufweist, die wesentlich mehr ist, als die Menge an gasförmigen Reaktionsmittel, das in den Reaktor während eines Stoppens der Einbringung des gasförmigen Reaktionsmittels in den Reaktor eingebracht wor­ den ist.
19. Verfahren nach Anspruch 16, wobei der erste vorbestimmte Druck zwischen un­ gefähr 0,1 Torr und ungefähr 0,5 Torr liegt.
20. Verfahren nach Anspruch 16, wobei der zweite vorbestimmte Druck größer als das ungefähr 1,5-fache des ersten vorbestimmten Drucks beträgt.
21. Verfahren nach Anspruch 16, wobei das Entfernen durch Abpumpen der Kammer durchgeführt wird, wodurch der Druck des Reaktors auf einen dritten vorbe­ stimmten Druck abgesenkt wird,
wobei der dritte vorbestimmte Druck niedriger als der erste vorbestimmte Druck ist.
22. Verfahren nach Anspruch 21, wobei der dritte vorbestimmte Druck niedriger als das ungefähr 0,5-fache des ersten vorbestimmten Drucks ist.
23. Verfahren nach Anspruch 16, wobei das Entfernen durch Abpumpen der Kammer durchgeführt wird, wodurch der Druck des Reaktors auf einen dritten vorbe­ stimmten Druck abgesenkt wird,
wobei der dritte vorbestimmte Druck niedriger als der erste vorbestimmte Druck ist.
24. Verfahren nach Anspruch 16, wobei der Reaktor ein Ofentyp-Reaktor ist und wo­ bei im Wesentlichen alle oberen Oberflächen der Substrate in die gleiche Rich­ tung für einen automatisierten Wafertransport schauen.
25. Verfahren nach Anspruch 16, wobei die Anzahl bei der Vielzahl an Substraten mehr als einhundert beträgt.
26. Verfahren nach Anspruch 16, wobei der Reaktor einen einzigen Reaktionsraum für eine Atomschichtabscheidung aufweist, so daß alle Substrate innerhalb des einzigen Reaktionsraums angeordnet sind.
27. Atomschichtabscheidungsverfahren (ALD-Verfahren) zum Ausbilden einer Dünn­ schicht, das aufweist:
  • a) Einfügen eines oder mehrerer Halbleitersubstrate in eine Kammer;
  • b) Einbringen eines ersten gasförmigen Reaktionsmittels in einen Reaktor bei ei­ nem ersten vorbestimmten Druck und chemisches Absorbieren eines Teils des Reaktionsmittels an den oberen Oberflächen des einen oder der mehreren Sub­ strate;
  • c) Verdünnen von nicht chemisch absorbierten ersten Reaktionsmittels in dem Reaktor durch Einführen eines Inertgases in die Kammer, so daß der Druck des Reaktors über den ersten vorbestimmten Druck erhöht wird;
  • d) Entfernen des nicht chemisch absorbierten ersten Reaktionsmittels aus der Kammer;
  • e) Einbringen eines zweiten gasförmigen Reaktionsmittels in den Reaktor bei ei­ nem zweiten vorbestimmten Druck, um eine einzelne Atommetallschicht durch einen chemischen Austausch auszubilden;
  • f) Verdünnen von nicht chemisch absorbierten zweiten Reaktionsmittel in dem Reaktor, so daß der Druck des Reaktors erhöht wird; und
  • g) Entfernen des nicht chemisch absorbierten zweiten Reaktionsmittels aus der Kammer.
28. Verfahren nach Anspruch 27, wobei der erste vorbestimmte Druck im wesentli­ chen der gleiche wie der zweite vorbestimmte Druck ist.
29. Verfahren nach Anspruch 27, wobei der erste vorbestimmte Druck verschieden von dem zweiten vorbestimmten Druck ist.
30. Verfahren nach Anspruch 27, wobei während dem ersten und zweiten Verdünnen der Reaktordruck auf nicht weniger als das ungefähr 1,5-fache des ersten bzw. zweiten vorbestimmten Drucks erhöht wird.
31. Verfahren nach Anspruch 27, wobei das Entfernen durch ein Abpumpen der Kammer bis zu einem dritten vorbestimmten Druck durchgeführt wird, der we­ sentlich niedriger als einer der beiden ersten oder zweiten vorbestimmten Drücke ist.
32. Verfahren nach Anspruch 27, wobei die einzelne Atomschicht eine Oxidschicht aus Al2O3, TiO2, ZrO2, HfO2, Ta2O5, Nb2O5, CeO2, Y2O3, SiO2, In2O3, RuO2 oder IrO2 ist.
33. Verfahren nach Anspruch 27, wobei die einzelne Atomschicht eine Verbundoxid­ schicht aus SrTiO3, PbTiO3, SrRuO3, CaRuO3, (Ba,Sr)TiO3, Pb(ZR,Ti)O3, (Pb,La)(Zr,Ti)O3, (Sr,Ca)RuO3, (Ba,Sr)RuO3, Sn dotiertes In2O3 (ITO), Fe dotier­ tes In2O3, oder Zr dotiertes In2O3 ist.
34. Verfahren nach Anspruch 27, wobei die einzelne Atomschicht eine Nitridschicht aus SiN, NbN, ZrN, TiN, TaN, Ya3N5, AlN, GaN, WN oder BN ist.
35. Verfahren nach Anspruch 27, wobei die einzelne Atomschicht eine komplexe Ni­ tridschicht aus WBN, WSiN, TiSiN, TaSiN oder AITiN ist.
36. Verfahren nach Anspruch 27, wobei die einzelne Atomschicht eine Metallschicht aus Si, Al, Cu, Ti, Ta, Mo, Pt, Ru, Rh, Ir, W oder Ag ist.
37. Verfahren nach Anspruch 27, wobei die einzelne Atomschicht eine Silizidschicht aus Al, W, Ti oder Co ist.
38. Verfahren nach Anspruch 27, wobei die einzelne Atomschicht aus einen Metallsi­ likatmaterial (M1-xSiXO2) ist, wobei das Metall "M" aus der Gruppe von Hafnium (Hf), Zirkonium (Zr), Tantal (Ta), Titan (Ti), Cäsium (Cs) und Alumium (Al) ausgewählt worden ist.
39. Verfahren nach Anspruch 27, das ferner den Schritt des Wiederholens von zumin­ dest einem der Schritte (b)-(g) aufweist.
40. Verfahren zum Ausbilden einer Dünnschicht, das aufweist:
  • a) Vorsehen eines Reaktors mit einem einzigen Reaktionsraum;
  • b) Laden der Vielzahl von Wafern mit einer Verarbeitungsoberfläche in den Re­ aktionsraum, wobei die Verarbeitungsoberflächen der Wafer im Wesentlichen in die gleiche Richtung schauen;
  • c) Einbringen eines ersten Reaktionsmittels in den Reaktionsraum, wobei ein Teil des ersten Reaktionsmittels auf der Verarbeitungsoberfläche jedes der Vielzahl von Wafern chemisch absorbiert wird;
  • d) Entfernen eines nicht chemisch absorbierten Teils des ersten Reaktionsmittels aus dem Reaktionsraum;
  • e) Einbringen eines zweiten Reaktionsmittels in den Reaktionsraum, wobei ein Teil des zweiten Reaktionsmittels auf der Verarbeitungsoberfläche jedes der Vielzahl von Wafern chemisch absorbiert wird; und
  • f) Entfernen eines nicht chemisch absorbierten Teils des zweiten Reaktionsmit­ tels aus dem Reaktionsraum.
41. Verfahren nach Anspruch 40, das ferner den Schritt eines Wiederholens von zu­ mindest einem der Schritte (c)-(f) aufweist.
DE10132882A 2001-05-31 2001-07-06 Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung Expired - Lifetime DE10132882B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/872,203 US6828218B2 (en) 2001-05-31 2001-05-31 Method of forming a thin film using atomic layer deposition
US09/872,203 2001-05-31

Publications (2)

Publication Number Publication Date
DE10132882A1 true DE10132882A1 (de) 2002-12-05
DE10132882B4 DE10132882B4 (de) 2005-04-14

Family

ID=25359056

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10132882A Expired - Lifetime DE10132882B4 (de) 2001-05-31 2001-07-06 Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung

Country Status (6)

Country Link
US (1) US6828218B2 (de)
JP (1) JP4167411B2 (de)
KR (1) KR100417893B1 (de)
CN (1) CN1312757C (de)
DE (1) DE10132882B4 (de)
TW (1) TW593736B (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1641031A3 (de) * 2004-09-22 2007-09-05 Asm International N.V. Abscheidung von TiN-Schichten in einem Batch-Reaktor
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
CN100389482C (zh) * 2002-11-11 2008-05-21 株式会社日立国际电气 基板处理装置
EP1420080A3 (de) * 2002-11-14 2005-11-09 Applied Materials, Inc. Vorrichtung und Verfahren zu hybriden chemischen Abscheidungsverfahren
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
KR101416781B1 (ko) * 2003-03-14 2014-07-08 아익스트론 인코포레이티드 원자 층 증착을 위한 방법 및 장치
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2006001431A1 (ja) 2004-06-29 2006-01-05 Matsushita Electric Industrial Co., Ltd. ズームレンズ系、撮像装置及びカメラ
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR100593659B1 (ko) * 2004-07-21 2006-06-28 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4639686B2 (ja) * 2004-07-27 2011-02-23 Jsr株式会社 化学気相成長材料及び化学気相成長方法
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
KR100611072B1 (ko) * 2004-08-11 2006-08-10 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
KR100566699B1 (ko) 2004-08-17 2006-04-03 삼성전자주식회사 상변화 메모리 장치 및 그 제조 방법
JP4661130B2 (ja) * 2004-08-17 2011-03-30 Jsr株式会社 化学気相成長方法
JP4516969B2 (ja) * 2004-10-07 2010-08-04 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP5025484B2 (ja) * 2004-10-26 2012-09-12 アーエスエム インターナショナル エヌ ヴィ 鉛含有酸化物膜の堆積方法
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR20060072338A (ko) * 2004-12-23 2006-06-28 주식회사 하이닉스반도체 유전체막 형성방법 및 이를 이용한 반도체 소자의캐패시터 형성방법
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4355672B2 (ja) * 2005-03-15 2009-11-04 三井造船株式会社 薄膜形成方法
KR100652420B1 (ko) * 2005-03-23 2006-12-01 삼성전자주식회사 유전막 제조방법, 그 유전막을 포함하는 mim 캐패시터의제조방법 및 그 유전막을 제조하기 위한 배치 타입 ald장치
KR100676201B1 (ko) * 2005-05-24 2007-01-30 삼성전자주식회사 원자층 적층법을 이용한 반도체 디바이스 제조방법
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100713925B1 (ko) * 2005-12-28 2007-05-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JPWO2007111348A1 (ja) * 2006-03-28 2009-08-13 株式会社日立国際電気 基板処理装置
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
JP2009545135A (ja) * 2006-07-20 2009-12-17 リンデ・インコーポレーテッド 改良された原子層堆積法
CN101496153A (zh) * 2006-07-31 2009-07-29 应用材料股份有限公司 形成含碳外延硅层的方法
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7692222B2 (en) 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP4486135B2 (ja) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TWI562204B (en) 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
CN102153132B (zh) * 2011-03-02 2012-11-21 复旦大学 一种高密度氧化锌纳米颗粒的制备方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TWI461566B (zh) 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
KR101740616B1 (ko) 2012-11-26 2017-05-26 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
CN103333536A (zh) * 2013-06-06 2013-10-02 南京航空航天大学 单原子层氮化硼在表面涂层中的应用
JP6346022B2 (ja) * 2013-07-31 2018-06-20 京セラ株式会社 薄膜形成方法および太陽電池素子の製造方法
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR101764959B1 (ko) * 2014-03-21 2017-08-03 주식회사 엘지화학 고속 원자층 증착 장치 및 이를 이용한 증착 방법
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6460874B2 (ja) * 2015-03-26 2019-01-30 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
KR101820237B1 (ko) * 2016-04-29 2018-01-19 한양대학교 산학협력단 가압식 금속 단원자층 제조 방법, 금속 단원자층 구조체 및 가압식 금속 단원자층 제조 장치
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10388721B2 (en) 2017-01-24 2019-08-20 International Business Machines Corporation Conformal capacitor structure formed by a single process
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20190035147A (ko) 2017-09-26 2019-04-03 김영대 일반 생활쓰레기를 이용한 대체연료 제조방법
KR102214902B1 (ko) * 2017-10-18 2021-02-15 한양대학교 산학협력단 Tmdc 막 제조방법 및 그 제조장치
US11015243B2 (en) 2017-10-18 2021-05-25 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
CN114381710A (zh) * 2022-01-17 2022-04-22 西安交通大学 一种GaN薄膜的制备方法、GaN薄膜及其应用

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPH01305894A (ja) * 1988-06-03 1989-12-11 Matsushita Electric Ind Co Ltd 薄膜結晶成長装置および成長方法
US5217340A (en) 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
JPH07105357B2 (ja) 1989-01-28 1995-11-13 国際電気株式会社 縦型cvd拡散装置に於けるウェーハ移載方法及び装置
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
KR19990074809A (ko) * 1998-03-14 1999-10-05 윤종용 박막 제조 방법
FI105313B (fi) 1998-06-03 2000-07-14 Planar Systems Oy Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi
KR100510473B1 (ko) * 1998-07-03 2005-10-25 삼성전자주식회사 원자층 증착법을 이용한 반도체소자의 커패시터 상부 전극 형성방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
AU6336700A (en) * 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (de) * 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
KR100647442B1 (ko) * 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1641031A3 (de) * 2004-09-22 2007-09-05 Asm International N.V. Abscheidung von TiN-Schichten in einem Batch-Reaktor
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition

Also Published As

Publication number Publication date
JP4167411B2 (ja) 2008-10-15
CN1312757C (zh) 2007-04-25
US6828218B2 (en) 2004-12-07
JP2002367992A (ja) 2002-12-20
DE10132882B4 (de) 2005-04-14
US20030013320A1 (en) 2003-01-16
CN1389910A (zh) 2003-01-08
KR100417893B1 (ko) 2004-02-11
KR20020091743A (ko) 2002-12-06
TW593736B (en) 2004-06-21

Similar Documents

Publication Publication Date Title
DE10132882A1 (de) Verfahren zum Ausbilden einer Dünnschicht unter Verwendung einer Atomschichtabscheidung
DE60027401T2 (de) Radikal-unterstützte sequentielle gasphasenabscheidung
DE10049257B4 (de) Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
DE60129380T2 (de) Vorrichtung und Verfahren zum Auftragen einer Dünnschicht auf einen Wafer durch Abscheidung von atomaren Schichten
DE60104426T2 (de) Verfahren zur dampfphasenabscheidung eines films auf einem substrat
DE10137088B4 (de) Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen
DE10123858B4 (de) Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht
DE102014107511B4 (de) Zyklische Abscheidung von Aluminiumnitrid in einem Batch-Reaktor
DE60038250T2 (de) Apparat und verfahren für die minimierung parasitischer cvd während der atomschicht-beschichtung
DE3709066C2 (de)
DE60019789T2 (de) Verfahren zum modifizieren von chemikalien in einem ald-verfahren
DE69926761T2 (de) Cvd-reaktorsystem und verfahren zur cvd-oberflächenbeschichtung
DE112006003315T5 (de) Gaskopf und Dünnfilm-Herstellungsvorrichtung
DE60004527T2 (de) Plasmabehandlung von durch thermische cvd aus tantalhalogenid-vorläufern erhaltenen tan schichten
DE4229568C2 (de) Verfahren zum Niederschlagen dünner Titannitridschichten mit niedrigem und stabilem spezifischen Volumenwiderstand
DE2110289C3 (de) Verfahren zum Niederschlagen von Halbleitermaterial und Vorrichtung zu seiner Durchführung
DE102004056170A1 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
DE19853598A1 (de) Dünnschichtherstellungsverfahren mit atomarer Schichtdeposition
DE112007000933T5 (de) Katalytische, chemische Gasphasenabscheidungsvorrichtung
DE10244409A1 (de) Verfahren zum Verarbeiten eines Wafers und Vorrichtung zur Durchführung desselben
DE10065454A1 (de) Verfahren zur Herstellung eines Aluminiumoxidfilms zur Verwendung in einem Halbleitergerät
DE10335099B4 (de) Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
DE102004016162A1 (de) Verfahren zum Bilden eines Metalloxidfilmes
DE10064041A1 (de) Verfahren zur Herstellung einer Kupferverdrahtung in einem Halbleiterbauelement
EP3510178A1 (de) Cvd-reaktor und verfahren zum reinigen eines cvd-reaktors

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right