CN1977387B - 高迁移率三栅器件及其制造方法 - Google Patents

高迁移率三栅器件及其制造方法 Download PDF

Info

Publication number
CN1977387B
CN1977387B CN200580021607.7A CN200580021607A CN1977387B CN 1977387 B CN1977387 B CN 1977387B CN 200580021607 A CN200580021607 A CN 200580021607A CN 1977387 B CN1977387 B CN 1977387B
Authority
CN
China
Prior art keywords
substrate
forms
reference orientation
semiconductor
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200580021607.7A
Other languages
English (en)
Other versions
CN1977387A (zh
Inventor
M·A·沙赫恩
B·多伊尔
S·达塔
R·S·乔
P·托尔钦斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1977387A publication Critical patent/CN1977387A/zh
Application granted granted Critical
Publication of CN1977387B publication Critical patent/CN1977387B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76256Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques using silicon etch back techniques, e.g. BESOI, ELTRAN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates

Abstract

提供了一种高迁移率半导体组件。在一个示范方面,高迁移率半导体组件包括具有位于第一衬底上<110>晶面位置处的第一参考定向的第一衬底和在第一衬底顶部形成的第二衬底。第二衬底具有位于第二衬底上<100>晶面位置处的第二参考定向,其中第一参考定向与第二参考定向对准。在另一个示范方面,第二衬底具有位于第二衬底上<110>晶面位置处的第二参考定向,其中在第二参考定向相对于第一参考定向偏移大约45度的情况下,第二衬底在第一衬底上方形成。

Description

高迁移率三栅器件及其制造方法
技术领域
本发明涉及半导体集成电路制造领域,具体涉及高迁移率三栅器件(如高迁移率三栅晶体管)及其制造方法。
背景技术
为了增强器件性能,绝缘体上硅(SOI)晶体管被建议用于现代集成电路的制造。图1说明了标准的全耗尽绝缘体上硅(SOI)晶体管100。SOI晶体管100包括具有绝缘层104的单晶体硅衬底102,如在其上形成的埋入的氧化物。单晶体硅主体106在绝缘层104上形成。栅电介质层108在单晶体硅主体106上形成并且栅电极110在栅电介质108上形成。源区112和漏区114在硅主体106内沿着栅电极110的侧向相对的侧面形成。
全耗尽SOI被建议作为晶体管结构以此将理想的次阈值梯度用于优化的导通电流/截止电流比率。为了利用晶体管100取得理想的次阈值梯度,硅主体106的厚度(Tsi)必须是晶体管栅极长度(Lg)大小的大约1/3或者Tsi=Lg/3。然而,作为栅极长度标度,尤其是当它们趋于30nm时,对于不断减小硅薄膜厚度的需求使得这个问题越来越不切合实际。在30纳米栅极长度下,所要求的硅主体厚度被认为需要小于10纳米,而在20纳米栅极长度下,所要求的硅主体厚度约为6纳米。具有厚度小于10纳米的硅薄膜的制造被认为是极其困难的。一方面,在一个纳米量级上取得晶片均匀性是一个困难的挑战。另一方面,能够接触这些薄膜以形成凸出的源/漏区从而减小结电阻几乎是不可能的,因为源/漏区上的薄硅层在栅蚀刻和栅蚀刻之后的各种清洁过程期间被消耗,并且隔片蚀刻留下不足的硅106用于硅的生长。
如图2A和2B所示,双栅(DG)器件被建议用来减轻硅厚度问题。双栅(DG)器件200包括在绝缘衬底204上形成的硅主体202。栅电介质206在硅主体202的两侧上形成并且栅电极208毗连形成于硅主体202两侧上的栅电介质206而形成。足够厚的绝缘层209(如氮化硅)使栅电极208与硅主体202的顶部电隔离。
双栅(DG)器件200基本上具有两个栅极,每一个位于器件沟道的一侧。因为双栅器件200在沟道的每一侧具有栅极,硅主体厚度(Tsi)可以是单栅器件的两倍并且仍可获得全耗尽晶体管操作。也就是,利用双栅器件200,可形成全耗尽晶体管,其中Tsi=(2xLg)/3。然而,双栅(DG)器件200的最可制造形式要求利用光刻术完成主体202的图案形成,其为0.7x小于用来图案化平面器件(如晶体管100)的栅极长度(Lg)。为了获得高密度集成电路,通常期望使最主动的光刻术相对于栅电极208的栅极长度(Lg)发生。尽管双栅结构使硅薄膜厚度加倍(因为现在在沟道的任一侧都具有栅极),然而,这些结构极其难以制造。例如,硅主体202要求可利用约为5∶1的纵横比(高宽比)生产硅主体202的硅主体蚀刻。另外,随着对高器件性能的需求的持续增长,具有高迁移率以此增强器件性能的器件是令人期待的。
发明内容
按照本发明实施例的第一方面,提供一种高迁移率半导体衬底,包含:第一衬底,具有位于第一衬底上<110>晶面位置处的第一基准定向;以及第二衬底,在所述第一衬底的顶部形成,所述第二衬底具有位于第二衬底上<100>晶面位置处的第二基准定向,其中所述第一基准定向与所述第二基准定向对准。
按照本发明实施例的第二方面,还是提供一种高迁移率半导体衬底,包含:第一衬底,具有位于所述第一衬底上<110>晶面位置处的第一基准定向;第二衬底,在所述第一衬底的顶部上形成,所述第二衬底具有位于所述第二衬底上<110>晶面位置处的第二基准定向,其中在所述第二基准定向相对于所述第一基准定向偏移大约45度的情况下,所述第二衬底在所述第一衬底上方形成。
按照本发明实施例的第三方面,提供一种制造高迁移率半导体衬底的方法,所述方法包含:设置第一衬底,所述第一衬底具有位于第一衬底上<110>晶面位置处的第一基准定向;以及形成第二衬底,所述第二衬底在所述第一衬底的顶部形成,所述第二衬底具有位于第二衬底上<100>晶面位置处的第二基准定向,其中所述形成包括使所述第一基准定向与所述第二基准定向对准。
按照本发明实施例的第四方面,提供一种制造高迁移率半导体衬底的方法,所述方法包含:提供第一衬底,所述第一衬底具有位于第一衬底上<110>晶面位置处的第一基准定向;形成第二衬底,所述第二衬底在所述第一衬底的顶部上形成,所述第二衬底具有位于第二衬底上<110>晶面位置处的第二基准定向,其中所述形成包括在所述第二基准定向相对于所述第一基准定向偏移大约45度的情况下在所述第一衬底上方形成所述第二衬底。
按照本发明实施例的第五方面,还是提供一种制造高迁移率半导体衬底的方法,所述方法包含:提供衬底,所述衬底具有位于所述衬底上<100>晶面位置处的基准定向;在所述衬底内形成埋入氧化物区域;以及在所述埋入氧化物上方的所述衬底的一部分形成非平面器件,其中所述非平面器件具有顶面和侧面,所述顶面和侧面全都具有<100>晶面。
附图说明
图1是说明耗尽衬底晶体管的横截面视图。
图2A和2B说明了双栅耗尽衬底晶体管。
图3说明了依照本发明实施例的三栅晶体管。
图4说明了<100>和<110>迁移率特征的比较。
图5说明了在<100>晶面方向上生长并且具有<110>晶面位置处的基准定向的硅锭。
图6说明了由图5所示的硅锭切割下来的晶片。
图7A-7B说明了由图5所示的硅锭切割下来的晶片,其中器件在其上形成。
图8A-8B说明了具有在<100>晶面位置处形成的参考切口的晶片。
图9说明了依照本发明实施例的三栅晶体管。
图10说明了为依照本发明实施例的三栅器件形成高迁移率硅衬底的方法。
图11-13说明了为按照本发明实施例的三栅器件形成高迁移率硅衬底的示范方法。
图14A说明了具有<100>参考切口的示范硅锭。
图14B说明了具有<100>参考切口的晶片与具有<110>参考切口的晶片的结合。
图15A-15J说明了制造依照本发明实施例的三栅晶体管的示范方法。
具体实施方式
本发明实施例与新颖的高迁移率非平面器件或三栅器件(如三栅晶体管结构)及其制造方法有关。在下面的描述中,陈列了大量特定细节以便于提供对本发明实施例的全面了解。在其他实例中,对公知的半导体工艺和制造技术不再进行特别详细的描述以避免对本发明实施例的不必要的混淆。
本发明实施例与高迁移率非平面器件(如三栅晶体管)有关。非平面器件的高迁移率特征通过用来形成高迁移率非平面器件的衬底晶片的基准定向的旋转或重新定位来获得。图3说明了示范的非平面器件300(如三栅晶体管)。
在本发明的实施例中,三栅晶体管300是绝缘体上半导体(SOI)晶体管。三栅晶体管300包括在衬底302上形成的薄半导体主体308;衬底302可以是绝缘衬底(如衬底302包括氧化膜)或半导体衬底。半导体主体308包括在半导体主体308的顶面和侧壁上形成的栅电介质305,以及在半导体主体308顶面上的栅电介质305上形成的和邻近在半导体主体308的侧壁上形成的栅电介质307而形成的栅电极307。源区和漏区330和332分别在半导体主体308内栅电极307的相对侧上形成。因为栅电极307和栅电介质305在三侧环绕半导体主体308,晶体管300实际上具有三个独立的沟道和栅。晶体管的栅极“宽度”等于半导体主体的三个侧面的每一个的总和。
因为存在有在半导体主体内形成的三个独立的沟道,在晶体管“导通”时,半导体主体可以完全耗尽,从而使具有小于30纳米的栅极长度的全耗尽晶体管能够形成,而无需使用超薄半导体主体或要求半导体主体的光刻图案形成达到小于器件的栅极长度(Lg)的尺寸。因为本发明的三栅晶体管可以全耗尽的方式工作,所以器件的特征在于,理想的(比如非常陡的)次阈值斜率和减小的小于100mV/V并且理想地为大约60mV/V的漏极引发的势垒降低(DIBL)短沟道效应,在器件“截止”时,这导致更低的漏电流,从而导致更低的功耗。
可以期望使非平面器件(如三栅晶体管300)成为改进器件性能的高迁移率器件。在本发明的实施例中,为了改进非平面器件300的迁移率,改变半导体主体308的晶面结构。如图3所示,非平面器件300具有在半导体主体308的顶面上的垂直场,其具有<100>晶面。半导体主体308侧面的垂直场具有<110>晶面。已经表明,在迁移率方面,<100>和<110>晶面之间存在有明显的差异。如图4所示,<110>晶面具有的迁移率值大约为<100>晶面的一半。如图4所示,<100>晶面的Takagi线明显高于<110>晶面的Takagi线。一种改进非平面器件的迁移率的方式是使半导体主体308的所有侧面的垂直场具有<100>晶面。
更通常的是,衬底302由半导体晶片制成,其接下来被处理,其中薄膜和结构在此形成以形成如三栅器件300这样的半导体器件。在一个实例中,衬底302是体硅晶片。绝缘层(如二氧化硅膜)在衬底302上方形成,并且器件质量半导体膜(如单晶硅)在绝缘层上方形成。器件300接着在器件质量半导体膜中形成。这是半导体制造领域中的在用来形成器件的一个晶片或若干晶片上产生基准定向的实例。基准定向通常是在晶片中产生的较小切口。基准定向对于设备(如蚀刻工具或光刻工具)对准用途尤其是对于制造可重复性(如光刻或蚀刻这样的器件工艺过程)是有用的。处理工具因此具有对准点,其中特定晶片上的每个切口为了处理目的而对准。正如所知道的,硅或其他半导体材料在晶片的不同平面处具有不同的晶体立方定向。因此,对于晶体定向的可重复性来说,产生基准定向以此标记晶片的统一方向。基准定向还提供了从晶片到晶片的过程可重复性。
一种在晶片内产生基准定向的方式是在晶片上特定位置处制造切口。目前,利用<100>晶面方向上的籽晶生长锭(如硅锭)。如图5所说明的,锭502在<100>晶面方向上生长。锭502被放置于X射线衍射工具中以使得找到<110>晶面方向。在X射线衍射过程中,锭502被径向旋转以使X射线衍射束可见并且定位<110>位置。如图6所示,<110>位置一旦找到,就沿着线504标记锭502以使切口506可在每个晶片内形成。研磨可用来产生线504。接着切片法被用来切割锭502以此产生多个晶片508。如图6所说明的,晶片508具有指向页面外的方向上的<100>晶面。切口506具有<110>晶面并且位于晶片508的180度或6点位置处。
图7A进一步说明了晶片508的晶体结构性质。圆圈510表示相对于页面平面的晶片508的晶体结构的晶面。如图所说明的,<100>晶面是晶片508的表面并且同样地在指向页面外的箭头512的方向上。如图7A所说明的,当非平面器件514在晶面508内形成时,非平面器件514的侧面514-S将具有<110>晶面。器件514的顶部侧面514-T具有<100>晶面。一种改变在晶片508内形成的器件514的侧面的晶面结构的方式是旋转或重新定位切口506。在本发明的一个实施例中,不是如常规方式制作的那样使切口506位于<110>晶面位置处,而是使切口506位于晶片508上<100>晶面位置处。在另一个实施例中,切口506如常规方式制作的那样在<110>位置处标记并且晶片508在制造工具中旋转约45度(或-45度)以使由圆圈510说明的晶面旋转约45度(或-45度)。
图8A说明了具有位于<100>晶面位置处的切口804的晶片802。晶片802上所示的圆圈810表示相对于页面平面的晶片802的晶体结构的晶面。指向页面外的晶片802的晶面是<100>。当非平面器件806在晶片802内形成时,器件806的所有侧面具有<100>晶面。因此,器件806的顶面806-T具有<100>晶面并且器件806的所有侧面806-S同样具有<100>晶面。
另一方面,当晶片具有位于<110>位置处的切口时,在处理期间,晶片可以旋转45度(或-45度)。在这样做的过程中,当非平面器件在晶片上形成时,器件的所有侧面同样具有<100>晶面。
在非平面器件的所有侧面具有<100>晶面的情况下,非平面器件将具有高性能器件所期望的高迁移率特征。
图9说明了通过使晶片的切口重新定位或旋转可受益于器件的高迁移率特征的示范的非平面器件,例如三栅器件(如三栅晶体管900)。非平面器件因此是高迁移率非平面器件,其可以是高迁移率三栅晶体管。
三栅晶体管900在衬底902上形成。在本发明的实施例中,衬底902是绝缘衬底,其包括低级的单晶硅衬底904,在其上形成了绝缘层906,如二氧化硅膜。然而,三栅晶体管900可以在任何公知的绝缘衬底上制成,如由二氧化硅、氮化物、氧化物和蓝宝石制成的衬底。在本发明的实施例中,衬底902可以是半导体衬底,例如但不限于单晶硅衬底和砷化镓衬底。
三栅晶体管900包括在绝缘衬底902的绝缘层906上形成的半导体主体908。半导体主体908可以由半导体膜制成。在半导体膜位于绝缘衬底902上的情况下,三栅晶体管900可被认为是SOI晶体管。半导体主体908可以由任何公知的半导体材料制成,例如但不限于硅(Si)、锗(Ge)、锗化硅(SixGey)、砷化镓(GaAs)、InSb、GaP、GaSb和碳纳米管。当期望在比如微处理器中晶体管900的最佳性能时,半导体主体908理想地为单晶体膜。然而,当晶体管900用于要求次严格性能的应用(如用于液晶显示器)中时,半导体主体908可以是多晶硅膜。用来形成半导体主体908的晶片被处理成以使半导体主体908的所有侧面将具有如前所述的<100>晶面。
在一个实施例中,用来形成半导体主体908的半导体材料是利用位于晶片上<100>晶面位置处的参考切口处理或形成的晶片(如硅晶片)。在另一个实施例中,用来形成半导体主体808的半导体材料是利用位于晶片上<110>晶面位置处的参考切口处理或形成的晶片(如硅晶片)。在这个另一个实施例中,用来形成半导体主体908的晶片被旋转以使参考切口偏移大约45度或-45度。
半导体主体908具有一对隔开一定距离的侧向相对的侧壁910和912,其定义了半导体主体宽度914。另外,半导体主体908具有与在衬底902上形成的底面918相对的顶面916。顶面916和底面918之间的距离定义了主体高度920或半导体主体908的厚度Tsi。在本发明的实施例中,主体高度920基本上等于主体宽度914。在本发明的实施例中,主体908具有小于30纳米以及理想地小于20纳米的高度920和宽度914。在本发明的实施例中,主体高度920介于主体宽度914的1/2和主体宽度914的2倍之间。侧壁910和912、顶面916和底面918全都具有垂直场,所述的垂直场具有<100>晶面结构。
三栅晶体管900具有栅电介质层922。如图9所示,栅电介质层922在半导体主体908的三个侧面上或周围形成。栅电介质层922在主体908的侧壁912上或毗连侧壁912、顶面916上、以及侧壁910上或毗连侧壁910而形成。栅电介质层922可以是任何公知的栅电介质层。在本发明的实施例中,栅电介质层是二氧化硅(SiO2)、氮氧化硅(SiOxNy)或氮化硅(Si3N4)电介质层。在本发明的实施例中,栅电介质层922是形成的厚度介于5-20
Figure G200580021607701D00081
之间的氮氧化硅膜。在本发明的实施例中,栅电介质层922是高K栅电介质层,如金属氧化物电介质,例如但不限于五氧化二钽(Ta2O5)和氧化钛(TiO2)。栅电介质层922可以是其他类型的高K电介质,例如但不限于PZT(锆钛酸铅)。
三栅器件900具有栅电极924。如图9所示,栅电极924在栅电介质层922上或周围形成。栅电极924在半导体主体908的侧壁912上形成的栅电介质922上或毗连栅电介质922而形成,在半导体主体908的顶面916上形成的栅电介质922上形成,以及在于半导体主体908的侧壁910上形成的栅电介质层922上或毗连栅电介质922而形成。栅电极924具有一对隔开一定距离的侧向相对的侧壁926和928,其定义了晶体管900的栅极长度(Lg)930。在本发明的实施例中,栅电极924的侧向相对的侧壁926和928在与半导体主体908的侧向相对的侧壁910和912垂直的方向上延伸。
栅电极924可以由任何适当的栅电极材料制成。在本发明的实施例中,栅电极924包含掺杂至介于1x1019原子/cm3-1x1020原子/cm3之间的浓度密度的多晶硅。在本发明的实施例中,栅电极可以是金属栅电极,例如但不限于钨、钽、钛及其氮化物。在本发明的实施例中,栅电极由具有介于4.6-4.8eV的中间能隙功函数的材料制成。将会意识到,栅电极924不必是单一材料并且可以是薄膜的合成叠层,例如但不限于多晶硅/金属电极或金属/多晶硅电极。
三栅晶体管900具有源区930和漏区932。如图9所示,源区930和漏区932在半导体主体908内栅电极924的相对侧上形成。源区930和漏区932由相同导电类型制成,如N型或P型导电。在本发明的实施例中,源区930和漏区932具有介于1x1019和1x1021原子/cm3之间的掺杂浓度。源区930和漏区932可以制成均匀浓度或者可包括不同浓度或掺杂分布的子区域,如尖端区域(如源/漏极扩展)。在本发明的实施例中,当晶体管900是对称晶体管时,源区930和漏区932将具有相同的掺杂浓度和分布。在本发明的实施例中,当三栅晶体管900作为非对称晶体管形成时,则源区930和漏区932的掺杂浓度和分布可以变化以便于获得特定的电学特征。
位于源区930和漏区932之间的半导体主体908的部分限定了晶体管900的沟道区域950。沟道区域950还可以定义为被栅电极924环绕的半导体主体908的面积。然而,有时,源/漏区可以在栅电极下稍微伸过,例如扩散以此定义的沟道区域稍微小于栅电极长度(Lg)。在本发明的实施例中,沟道区域950是本征的或未掺杂的单晶硅。在本发明的实施例中,沟道区域950是掺杂的单晶硅。当沟道区域950被掺杂时,其通常被掺杂至介于1x1016至1x1019原子/cm3之间的导电水平。在本发明的实施例中,当沟道区域950被掺杂时,其通常被掺杂成源区930和漏区932的相反导电类型。例如,当源和漏区是N型导电时,沟道区域950被掺杂成P型导电。同样地,当源和漏区是P型导电时,沟道区域950将是N型导电。这样,三栅晶体管900可以分别被制成NMOS晶体管或PMOS晶体管。沟道区域950可以被均匀掺杂或者可以非均匀掺杂或者具有不同的浓度以此提供特定的电学和性能特征。例如,如果需要的话,沟道区域950可包括公知的“光晕”区域。
通过提供栅电介质和在三个侧面环绕半导体主体908的栅电极,三栅晶体管900的特征在于,具有三个沟道和三个栅极,一个(g1)在硅主体908的侧面912上的源和漏区之间伸展,第二个(g2)在硅主体908的顶面916上的源和漏区之间伸展,第三个(g3)在硅主体908的侧壁910上的源和漏区之间伸展。由于如上所讨论的半导体主体908的构造,栅极g1、g2和g3的每一个具有<100>晶面结构。利用三个<100>晶面栅极因此改进了迁移率,使晶体管900成为高迁移率非平面器件。晶体管900的栅极“宽度”(Gw)是三个沟道区域宽度的总和。因此晶体管900的栅极宽度等于侧壁910处硅主体908的高度920加上顶面916处硅主体908的宽度,加上侧壁912处硅主体908的高度920。通过利用多个耦合在一起的器件(例如被单个栅电极924环绕的多个硅主体908)可以获得更大“宽度”的晶体管。
因为沟道区域950在三个侧面上被栅电极924和栅电介质922所环绕,所以晶体管900可以全耗尽的方式工作。当晶体管900“导通”时,沟道区域950全耗尽,从而提供全耗尽晶体管的有利的电学特征和和性能。另外,当晶体管900“导通”时,形成耗尽区域以及沟道区域950连同沟道区域950表面处的反型层(如在半导体主体908的侧面和顶面上形成的反型层)。反型层具有与源和漏区相同的导电类型并且在源和漏区之间形成导电沟道以使电流在其间流动。三栅晶体管900是非平面晶体管,因为沟道区域在半导体主体908内的水平和垂直方向上形成。耗尽区域耗尽来自反型层下面的自由载流子。耗尽区域伸展至沟道区域950的底部,因此晶体管可以被称为“全耗尽”晶体管。全耗尽晶体管具有比非全耗尽或部分耗尽晶体管改进的电学性能特征。例如,通过以全耗尽方式来操作晶体管900,晶体管900具有理想的或者非常陡的次阈值斜率。可以利用小于80mV/decade并且理想地约为60mV/decade的非常陡的次阈值斜率来制造三栅晶体管,即使是在半导体主体的厚度小于30nm的情况下制造的。另外,在晶体管900是全耗尽的情况下,晶体管900具有改进的漏极引发的势垒降低(DIBL)效应,其为导致更低的泄漏以及因此导致更低的功耗的更好的“截止”状态泄漏作了准备。在本发明的实施例中,三栅晶体管900具有小于100mV/V以及理想地为小于40mV/V的DIBL效应。
因为晶体管900的栅极由于<100>晶面而具有高迁移率特征,所以晶体管900的电学特征甚至优于仅包含具有<100>晶面的顶面的器件。
图10说明了制造依照本发明实施例的非平面器件(如三栅晶体管900)的衬底的示范方法。在一个实施例中,首先提供衬底1002。衬底1002可以是半导体衬底,例如但不限于体硅衬底、单晶硅衬底、较低的单晶硅衬底、多晶硅衬底、砷化镓衬底或其他适当的半导体材料。在一个实施例中,衬底1002包括绝缘层1004,如二氧化硅膜、氮化硅膜或其他适当的电介质膜。绝缘层1004可具有介于大约200-2000埃的厚度。
半导体器件衬底1006被结合到衬底1002。在其中衬底1002包括绝缘层1004的实施例中,器件衬底1006被结合到绝缘层1004处的衬底1002。半导体器件衬底1006是这样的衬底,用该衬底制造三栅晶体管的一个或若干个半导体主体。在一个实施例中,半导体器件衬底1006是高质量硅。在其他实施例中,半导体器件衬底1006可以是其它类型的半导体膜,例如但不限于锗(Ge)、锗化硅合金(SiGe)、砷化镓(GaAs)、锑化铟(InSb)、磷化镓(GaP)、锑化镓(GaSb)、以及碳纳米管。
在本发明的实施例中,半导体器件衬底1006是本征的(未掺杂的)硅膜。在其他实施例中,半导体器件衬底1006被掺杂成具有介于1x1016-1x1019原子/cm3的浓度水平的p型或n型导电。半导体器件衬底1006可以原位掺杂(如沉积的同时掺杂)或者在它于衬底1002上通过比如离子注入形成之后再掺杂。形成使得PMOS和NMOS三栅器件能够被制造之后的掺杂可以很容易在相同的绝缘衬底上进行。该点处的半导体主体的掺杂水平确定了非平面器件的沟道区域的掺杂水平。在一个实施例中,半导体器件衬底1006包括可以是二氧化硅膜或氮化硅膜或其他任何适当电介质膜的绝缘层1008。绝缘层1008可具有介于大约200埃至大约2000埃的厚度。
半导体器件衬底1006具有近似等于随后形成的所制造三栅晶体管的一个或若干半导体主体所期望的高度的厚度。在本发明的实施例中,半导体器件衬底1006具有小于30纳米以及理想地为小于20纳米的厚度或高度1016。在本发明的实施例中,半导体器件衬底1006具有近似等于制造的三栅晶体管所期望的栅极“长度”的厚度1016。在本发明的实施例中,半导体器件衬底1006具有比将要形成的三栅晶体管的所期望的栅极长度更厚的厚度1016。在本发明的实施例中,针对其设计的栅极长度(Lg),半导体器件衬底1006具有将使得所制造的三栅晶体管能够以全耗尽方式工作的厚度1016。在器件衬底1006被结合到或形成在衬底1002上之后,形成SOI衬底。三栅器件的半导体主体在器件衬底1006内形成。器件衬底1006被结合到衬底1002以使在器件衬底1006内形成的三栅器件将在所有侧面上具有<100>晶面。
利用任何公知的方法可以将半导体器件衬底1006形成在(或结合到)绝缘层1002上。在一个示范的方法中,衬底1002包括位于<110>晶面位置的切口1010。衬底1002可以是从具有在如前所述的<110>位置处产生的参考切口的锭上切割下来的晶片。在一个实施例中,器件衬底1006包括同样位于<110>晶面位置处的切口1012。与衬底1002类似,器件衬底1006可以是从具有在<110>晶面位置处产生的参考切口的锭上切割下来的晶片。器件衬底1006可以具有比衬底1006更高的质量。在一个实施例中,衬底1002包括绝缘层1004并且器件衬底1006包括绝缘层1008。利用比如SMARTCUT(智能剥离)或键合与回蚀刻SOI(BESOI)这样的方法或其他结合方法在绝缘层处使器件衬底1006和衬底1002结合在一起。在结合在一起之前,器件衬底1006被旋转以使切口1012相对于切口1010偏移45度或-45度。器件衬底1006的晶面结构因此被改变。
在SMARTCUT方法中,(图11),器件衬底1006可以被氧化以此产生绝缘层1008。衬底1002同样可以被氧化以此产生绝缘层1004。接着使用离子注入将离子注入到达器件衬底106内的预定深度,以此引发器件衬底1006内深入的弱化层的形成。衬底1002和1006接着被清洁并且在绝缘层1004和1008处彼此结合。在结合之前,衬底1002和器件衬底1006彼此偏移大约45度(或-45度)。在一个实施例中,衬底1002和1006彼此对准以使衬底1006的切口1012和衬底1002的切口1010彼此偏移45度。尤其是,衬底1006在被结合到衬底1002时具有相对于衬底1002的切口1010旋转了45度或-45度的切口1012(参见图10)。切口1012相对于切口1010的偏移将如前所讨论的在栅极的所有侧面为三栅提供<100>晶面。分裂接着在离子注入深度处被用来分裂器件衬底1006的一部分。包括绝缘层1008的器件衬底1006的其余部分被迁移(通过结合)至衬底1002。退火和抛光(如化学机械抛光(CMP))可用来完成SOI衬底的形成。具有夹在其间的氧化物层1004和1008的衬底1002和器件衬底1006被称为SOI衬底。在所有侧面上具有<100>晶面结构的三栅器件将在器件衬底1006表面上形成。
在BESOI方法中,(图12),器件衬底1006可以被氧化以此产生绝缘层1008。衬底1002同样可以被氧化以此产生绝缘层1004。衬底1002和1006被清洁并且在绝缘层1004和1008处彼此结合。在结合之前,衬底1002和器件衬底1006彼此偏移大约45度(或-45度)。在一个实施例中,衬底1002和1006彼此对准以使衬底1006的切口1012和衬底1002的切口1010彼此偏移45度。尤其是,衬底1006在被结合到衬底1002时具有相对于衬底1002的切口1010旋转了45度或-45度的切口1012(参见图10)。切口1012相对于切口1010的偏移将如前所讨论的在栅极的所有侧面为三栅提供<100>晶面。在结合之后,衬底1006被蚀刻并被抛光(图11)以此获得所期望的厚度。退火和抛光(如CMP)可用来完成SOI衬底的形成。在所有侧面上具有<100>晶面结构的三栅器件将在器件衬底1006表面上形成。
在一个实施例中,氧注入隔离(SIMOX)方法用来形成SOI衬底。在这个实施例中,(图13),提供衬底1300并且氧离子的深层注入(通常为大剂量)在衬底1300内被实施以此形成SOI衬底。衬底1300被退火以此完成SOI衬底的形成。埋入氧化物层1302将在衬底1300内形成。在一个实施例中,衬底1300是单个晶体硅衬底。三栅器件将在位于埋入氧化物层1302上方的硅部分上形成。因此,位于氧化物层1302上方的硅部分实际上是器件衬底1006。在一个实施例中,衬底1300由具有在<110>晶面位置处产生的参考线的锭制成,以使在由锭拼接时,衬底1300具有在<110>晶面位置处产生的参考切口。当被放置到处理工具上时,切口相对于处理工具上的对准点偏移45度或-45度。因此,不是处理其中切口如常规那样被对准的衬底1300(如对准为切口指定的处理工具上的指定位置),而是旋转衬底1300以使切口在处理期间被偏移。偏移切口将在如上所讨论的栅极的所有侧面上为三栅提供<100>晶面。在备选的实施例中,衬底1300可以由锭1400重新产生(图14A),其中参考线位于<100>晶面位置。当锭1400被拼接成晶片以此产生衬底1300时,将在<100>晶面位置处产生切口1404。具有<100>切口的衬底1300可利用前面所讨论的SIMOX方法进行处理。在不需要使衬底1300旋转45度或-45度的情况下可以在衬底1300内形成三栅器件,以此产生在所有侧面上具有<100>晶面结构的三栅。
在其他实施例中,不是如图11-12所说明的相对于衬底1002旋转器件衬底1006或者如图13所讨论的重新对准器件衬底1300,而是非平面器件的器件衬底可以制造成以使切口被重新定位。用来形成器件衬底的晶片的切口因此被重新定位到<100>晶面位置。当器件衬底不得不被旋转时,机械旋转将规定器件衬底旋转的可靠性、精度、和/或可重复性。例如,当衬底1006和衬底1002相对于偏移各晶片上的切口彼此偏移45度或-45度时,偏移的精度可能被晶片结合过程或设备的精度所影响。因此,衬底1006相对于衬底1002的机械旋转可规定偏移度数(例如偏移几度)。为了使未对准的可能性降至最小,器件衬底1006或衬底1300可以<100>晶面位置处(与<100>位置相对)的切口来产生。如图14A所说明的,稍后用来形成器件衬底1006或衬底1300的锭1400可以利用在<100>晶面位置处使用X射线衍射产生的参考线1402来形成,其具有比晶片结合过程更精确的机械旋转。当锭1400被拼接以此生成可用来形成衬底1006或1300的多个晶片1406时,每个晶片1406将具有位于<100>晶面位置处的切口1404。
在图14B中,晶片1406被结合到另一个晶片(在一个实施例中为衬底1002)以此产生SOI衬底。晶片1404可包括绝缘层1408并且衬底1002可包括如前所述的绝缘层1004。正如以前,衬底1002包括如前所讨论的在<110>晶面位置处产生的切口1010。然而,晶片1406具有位于<100>晶面位置处的切口1404。如图14B所示,切口1404和1010在处理期间彼此对准。不需要旋转晶片1406以此在处理期间重新对准晶片1406的晶体结构。由于切口1404相对于<100>晶面位置的重新定位,以重新对准晶片1404中的晶面结构,晶片1406将具有45度或-45度的偏移。切口1404相对于<100>晶面的重新定位允许在晶片1406内形成的非平面器件在所有侧面具有高迁移率所期望的<100>晶面。
图15A-15J说明了制造依照本发明实施例的一个或若干个非平面器件1500(如三栅晶体管)的示范方法。在图15A中,提供衬底1502。衬底1502包括半导体衬底1504(如体硅)和绝缘膜1506(如二氧化硅)。在绝缘薄膜1506上,形成器件半导体衬底1508(如单晶硅)。衬底1502和器件衬底1508一起被称为前面所讨论的SOI衬底。在一个实施例中,器件衬底1508具有在<100>晶面位置处产生的切口(图中未示出),并且衬底1502具有在<110>晶面位置处产生的切口(图中未示出)。切口如前所讨论的那样彼此对准。在备选的实施例中,器件衬底1508和衬底1502都具有在<110>晶面位置处产生的切口。当被结合在一起以此形成SOI衬底时,器件衬底1508被旋转45度(或-45度)以使切口如前所讨论的那样彼此偏移。隔离区域(图中未示出)可以形成到器件衬底1508中,以便于使将要在其中形成的各种不同晶体管彼此隔离。隔离区域可以通过蚀刻掉环绕三栅晶体管的器件衬底1508的若干部分来形成,例如通过公知的光刻和蚀刻技术来形成,并且接着利用绝缘膜(如SiO2)背面填充蚀刻的区域。
接下来,在如图15B所示的器件衬底1508上形成光刻胶掩膜1510。光刻胶掩膜1510包含定义其中器件1500的半导体主体或鳍片1520随后将形成的位置的一个或多个图案1512。光刻胶图案1512定义了随后形成的半导体主体1520所期望的宽度1518。在本发明的实施例中,图案1512定义了具有宽度1518的主体1520,宽度1518等于或大于制造的晶体管的栅极长度(Lg)所期望的宽度。这样,用来制造晶体管的最严格的光刻术约束与栅电极图案形成相关联,并且与半导体主体或鳍片定义无关。在本发明的实施例中,主体1520将具有小于或等于30纳米并且理想地为小于或等于20纳米的宽度1518。在本发明的实施例中,主体1520的图案1512具有近似等于硅主体高度1509的宽度1518。在本发明的实施例中,光刻胶图案1512具有介于半导体主体高度1509的1/2和半导体主体高度1509的两倍之间的宽度1518。
光刻胶掩膜1510还可包括用于定义其中源极接合焊盘1522和漏极接合焊盘1524将要形成的位置的图案1514和1516。接合焊盘可用来将制造的晶体管的各种源区连接在一起以及将制造的晶体管的各种漏区连接在一起。光刻胶掩膜1510可通过公知的光刻技术(包括掩蔽、曝光和显影光刻胶薄膜沉积的覆盖层)来形成。
然后,对准光刻胶掩膜1510对器件衬底1508进行蚀刻,以此形成如图15C所示的一个或多个硅主体或鳍片以及源极和漏极接合焊盘(如果期望的话)。衬底1508被蚀刻直至下面的埋入氧化物层1506被曝光。公知的半导体蚀刻技术(如各向异性等离子体蚀刻或反应离子蚀刻)可用来蚀刻衬底1508。
接下来,光刻胶掩膜1510通过公知的技术(例如通过化学剥离或O2灰化)被除去,以此产生如图15D所示的衬底。
然后,栅电介质层1526在每个半导体主体1520上或周围形成。栅电介质层1526在半导体主体1520的每一个的顶面1527以及侧向相对的侧壁1528和1529上形成。栅电介质可以是沉积的电介质或生长的电介质。在本发明的实施例中,栅电介质层1526是利用干/湿氧化过程生长的二氧化硅电介质膜。在本发明的实施例中,氧化硅膜生长达到介于5-15之间的厚度。在本发明的实施例中,栅电介质膜1526是沉积的电介质,例如但不限于高介电常数膜,如金属氧化物电介质、如五氧化二钽(Ta2O5)和氧化钛(Ti2O),或其他高K电介质(如PZT)。高介电常数膜可以通过任何公知的技术(例如通过化学汽相淀积(CVD))形成。
接下来,如图15E所示,形成栅电极1530。栅电极1530在栅电介质层1526上形成,所述栅电介质层1526在半导体主体1520的每一个的顶面1527上以及侧壁1528和1529上或毗连侧壁1528和1529而形成。栅电极1530具有与在绝缘衬底1502上形成的底面相对的顶面1532并且具有一对侧向相对的侧壁1534和1536。侧向相对的侧壁1534和1536之间的距离定义了三栅晶体管的栅极长度(Lg)1538。栅电极1530可通过图15D所示的在衬底上沉积适当的栅电极材料的覆盖层形成。栅电极可以形成介于200-9000
Figure G200580021607701D00172
之间的厚度1533(图15F)。在实施例中,栅电极具有至少是半导体主体1520的高度1509的三倍的厚度或高度1533。接着利用公知的光刻术和蚀刻技术将栅电极材料图案化以此由栅电极材料形成栅电极1530。栅电极材料可包含多晶硅、多晶锗硅合金、以及金属(如钨、钽、及其氮化物)。在本发明的实施例中,栅电极1530具有小于或等于30纳米并且理想地为小于或等于20纳米的栅极长度1538。
然后,在半导体主体1520内栅电极1530的相对侧上形成晶体管的源区1540和漏区1542。在本发明的实施例中,源区1540和漏区1542包括尖端或源/漏极扩展区域。源和漏区以及扩展可以通过将掺杂剂1544掺进栅电极1530的两个侧面1534和1536上的半导体主体1520中来形成。如果利用源极和漏极接合焊盘的话,同样可以在此时对它们进行掺杂。对于PMOS三栅晶体管来说,半导体鳍片或主体1520被掺杂成p型导电并且浓度介于1x1020-1x1021原子/cm3之间。对于NMOS三栅晶体管来说,利用成n型导电离子对半导体鳍片或主体1520进行掺杂并且浓度介于1x1020-1x1021原子/cm3之间。在本发明的实施例中,通过离子注入来掺杂硅膜。在本发明的实施例中,离子注入发生在如图15F所示的垂直方向上。当栅电极1530是多晶硅栅电极时,可以在离子注入过程期间对其进行掺杂。栅电极1530充当了掩膜以此防止离子注入步骤掺杂三栅晶体管的沟道区域1548。沟道区域1548是位于栅电极1530下面或被栅电极1530环绕的硅主体1520的部分。如果栅电极1530是金属电极,则电介质硬质掩膜可用来阻挡离子注入过程期间的掺杂。在其他实施例中,其他方法(如固体源扩散)可用来掺杂半导体主体以此形成源极和漏极扩展。
接下来,如果期望的话,图15F所示的衬底可以进一步处理成以形成附加特征,如重掺杂的源极/漏极接触区域、源区和漏区以及栅电极上沉积的硅、和源极/漏极接触区域以及栅电极上硅化物的形成。例如,电介质侧壁隔片1550(图15G)可以在栅电极1530的侧壁上形成;对于某些应用(例如用于形成凸出的源区和漏区的应用)来说,半导体膜1560和1562(图15H)可以在主体1520的曝光表面上形成;额外掺杂可以实施(例如以此形成凸出的源区和漏区)(图15I);以及难熔金属硅化物1580可以在源区和漏区和/或栅电极1530上形成(图15J)。用于形成这些部件的技术在本领域中是已知的。
虽然已经根据若干实施例对本发明进行了描述,本领域的普通技术人员将会认识到,本发明不限于所描述的实施例。在所附权利要求书的精神和范围内可以对本发明的方法和装置进行修改和变更。本说明因此可认为是说明性的而不是限制性的。
已经公开了示范的实施例,可以对所公开的实施例进行修改和变更同时保持如由所附权利要求书定义的本发明的精神和范围。

Claims (37)

1.一种半导体衬底组件,包含:
第一衬底,具有位于第一衬底上<110>晶面位置处的第一基准定向;以及
第二衬底,在所述第一衬底的顶部形成,所述第二衬底具有位于第二衬底上<100>晶面位置处的第二基准定向,
其中所述第一基准定向与所述第二基准定向对准,以使第二衬底上的<100>晶面位置与第一衬底上的<110>晶面位置对准。
2.如权利要求1所述的半导体衬底组件,还包含:
绝缘层,被设置在所述第一衬底和所述第二衬底之间。
3.如权利要求1所述的半导体衬底组件,其中所述第一基准定向和所述第二基准定向的每一个分别包括在所述第一衬底和所述第二衬底的每一个上形成的切口。
4.如权利要求1所述的半导体衬底组件,其中所述第二衬底为将要在其中形成的非平面器件提供表面,并且其中所述非平面器件具有顶面和侧面,所述顶面和侧面全都具有<100>晶面。
5.如权利要求1所述的半导体衬底组件,其中所述第一衬底还包含第一绝缘层以及所述第二衬底包含第二绝缘层,并且其中所述第一衬底和所述第二衬底在所述第一和第二绝缘层处彼此结合。
6.如权利要求1所述的半导体衬底组件,其中所述第二衬底具有顶部场和多个侧部场,所述顶部场具有<100>晶面,所述多个侧部场各自具有<100>晶面。
7.如权利要求1所述的半导体衬底组件,其中所述第一衬底由选自由体硅、多晶硅、单晶硅和砷化镓组成的组的材料制成。
8.如权利要求1所述的半导体衬底组件,其中所述第二衬底由选自由硅、锗、锗化硅、砷化镓、InSb、GaP、GaSb和碳纳米管组成的组的材料制成。
9.如权利要求1所述的半导体衬底组件,还包含在所述第二衬底内形成的非平面器件,其中所述非平面器件包含,
半导体主体,具有在所述第一衬底上和所述第二衬底内形成的顶面和侧向相对的侧壁,其中所述半导体主体的所述顶面和所述侧向相对的侧壁的每一个具有<100>晶面;
栅电介质,在所述半导体主体的所述顶面和所述侧向相对的侧壁上形成;以及
栅电极,毗连所述栅电介质形成。
10.如权利要求9所述的半导体衬底组件,还包含:
一对源/漏区,在所述栅电极的相对侧的所述半导体主体内形成。
11.一种半导体衬底组件,包含:
第一衬底,具有位于所述第一衬底上<110>晶面位置处的第一基准定向;
第二衬底,在所述第一衬底的顶部上形成,所述第二衬底具有位于所述第二衬底上<110>晶面位置处的第二基准定向,
其中在所述第二基准定向相对于所述第一基准定向偏移大约45度的情况下,所述第二衬底在所述第一衬底上方形成,以使第二衬底上的<100>晶面位置与第一衬底上的<110>晶面位置对准。
12.如权利要求11所述的半导体衬底组件,还包含:
绝缘层,被设置在所述第一衬底和所述第二衬底之间。
13.如权利要求11所述的半导体衬底组件,其中所述第一基准定向和所述第二基准定向的每一个分别包括在所述第一衬底和所述第二衬底的每一个中形成的切口。
14.如权利要求11所述的半导体衬底组件,其中所述第一衬底还包含第一绝缘层以及所述第二衬底包含第二绝缘层,并且其中所述第一衬底和所述第二衬底在所述第一和第二绝缘层处彼此结合。
15.如权利要求11所述的半导体衬底组件,其中所述第二衬底具有顶部场和多个侧部场,所述顶部场具有<100>晶面,所述多个侧部场各自具有<100>晶面。
16.如权利要求11所述的半导体衬底组件,其中所述第一衬底由选自由体硅、多晶硅、单晶硅和砷化镓组成的组的材料制成。
17.如权利要求11所述的半导体衬底组件,其中所述第二衬底由选自由硅、锗、锗化硅、砷化镓、InSb、GaP、GaSb和碳纳米管组成的组的材料制成。
18.如权利要求11所述的半导体衬底组件,还包含在所述第二衬底内形成的非平面器件,其中所述非平面器件包含,
半导体主体,具有在所述第一衬底上和所述第二衬底内形成的顶面和侧向相对的侧壁,其中所述半导体主体的所述顶面和所述侧向相对的侧壁的每一个具有<100>晶面;
栅电介质,在所述半导体主体的所述顶面和所述侧向相对的侧壁上形成;以及
栅电极,毗连所述栅电介质形成。
19.如权利要求18所述的半导体衬底组件,还包含:
一对源/漏区,在所述栅电极的相对侧的所述半导体主体内形成。
20.一种制造半导体衬底组件的方法,所述方法包含:
设置第一衬底,所述第一衬底具有位于第一衬底上<110>晶面位置处的第一基准定向;以及
形成第二衬底,所述第二衬底在所述第一衬底的顶部形成,所述第二衬底具有位于第二衬底上<100>晶面位置处的第二基准定向,
其中所述形成包括使所述第一基准定向与所述第二基准定向对准,以使第二衬底上的<100>晶面位置与第一衬底上的<110>晶面位置对准。
21.如权利要求20所述的制造半导体衬底组件的方法,其中所述第二衬底和所述第一衬底的每一个包括绝缘层,并且其中所述第二衬底和所述第一衬底在所述绝缘层处彼此结合。
22.如权利要求20所述的制造半导体衬底组件的方法,还包含:
在所述第二衬底内形成非平面器件,其中所述非平面器件具有顶面和侧面,所述顶面和侧面全都具有<100>晶面。
23.如权利要求20所述的制造半导体衬底组件的方法,还包含:
在所述第二衬底内形成三栅晶体管,其中所述三栅晶体管包含,
半导体主体,具有顶面和侧向相对的侧壁,其中所述半导体主体的所述顶面和所述侧向相对的侧壁的每一个具有<100>晶面;
栅电介质,在所述半导体主体的所述顶面和所述侧向相对的侧壁上形成;以及
栅电极,毗连所述栅电介质形成。
24.如权利要求20所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括利用SMARTCUT方法和键合与回蚀刻SOI(BESOI)方法的任何一种将所述第二衬底迁移至所述第一衬底。
25.如权利要求20所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括:
提供用来形成所述第二衬底的第三衬底,所述第三衬底具有位于所述第三衬底上<100>晶面位置处的第三基准定向;
将离子注入所述第三衬底内的预定深度;
在所述第三基准定向基本上对准所述第一基准定向的情况下使所述第三衬底与所述第一衬底结合;以及
分裂所述第三衬底以此将所述第三衬底的一部分迁移至所述第一衬底,其中所述第三衬底的迁移部分形成所述第二衬底。
26.如权利要求20所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括:
提供具有绝缘层的第三衬底,所述第三衬底被用来形成所述第二衬底,所述第三衬底具有位于第三衬底上<100>晶面位置处的第三基准定向;
将离子注入所述第三衬底内的预定深度;
在所述第三基准定向基本上对准所述第一基准定向的情况下使所述第三衬底与所述第一衬底结合,其中所述第一衬底还包括绝缘层并且其中在所述绝缘层处所述第三衬底与所述第一衬底结合;以及
分裂所述第三衬底以此将所述第三衬底的一部分迁移至所述第一衬底,其中所述第三衬底的迁移部分形成所述第二衬底。
27.如权利要求20所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括:
提供用来形成所述第二衬底的第三衬底,所述第三衬底具有位于第三衬底上<100>晶面位置处的第三基准定向;
在所述第三基准定向基本上对准所述第一基准定向的情况下使所述第三衬底与所述第一衬底结合;以及
蚀刻所述第三衬底至预定深度,将所述第三衬底的一部分留在所述第一衬底上,其中所述第三衬底的所述部分形成所述第二衬底。
28.如权利要求20所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括:
提供具有绝缘层的第三衬底,所述第三衬底被用来形成所述第二衬底,所述第三衬底具有位于第三衬底上<100>晶面位置处的第三基准定向;
在所述第三基准定向基本上对准所述第一基准定向的情况下使所述第三衬底与所述第一衬底结合,其中所述第一衬底还包括绝缘层并且其中在所述绝缘层处所述第三衬底与所述第一衬底结合;以及
蚀刻所述第三衬底至预定深度,将所述第三衬底的一部分留在所述第一衬底上,其中所述第三衬底的所述部分形成所述第二衬底。
29.一种制造半导体衬底组件的方法,所述方法包含:
提供第一衬底,所述第一衬底具有位于第一衬底上<110>晶面位置处的第一基准定向;
形成第二衬底,所述第二衬底在所述第一衬底的顶部上形成,所述第二衬底具有位于第二衬底上<110>晶面位置处的第二基准定向,
其中所述形成包括在所述第二基准定向相对于所述第一基准定向偏移大约45度的情况下在所述第一衬底上方形成所述第二衬底,以使第二衬底上的<100>晶面位置与第一衬底上的<110>晶面位置对准。
30.如权利要求29所述的制造半导体衬底组件的方法,其中所述第二衬底和所述第一衬底的每一个包括绝缘层并且其中所述第二衬底和所述第一衬底在所述绝缘层处彼此结合。
31.如权利要求29所述的制造半导体衬底组件的方法,还包含:
在所述第二衬底内形成非平面器件,其中所述非平面器件具有顶面和侧面,所述顶面和侧面全都具有<100>晶面。
32.如权利要求29所述的制造半导体衬底组件的方法,还包含:
在所述第二衬底内形成三栅晶体管,其中所述三栅晶体管包含,
半导体主体,具有顶面和侧向相对的侧壁,其中所述半导体主体的所述顶面和所述侧向相对的侧壁的每一个具有<100>晶面;
栅电介质,在所述半导体主体的所述顶面和所述侧向相对的侧壁上形成;以及
栅电极,毗连所述栅电介质形成。
33.如权利要求29所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括利用SMARTCUT方法和键合与回蚀刻SOI(BESOI)方法的任何一种将所述第二衬底迁移至所述第一衬底。
34.如权利要求29所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括:
提供用来形成所述第二衬底的第三衬底,所述第三衬底具有位于第三衬底上<110>晶面位置处的第三基准定向;
将离子注入所述第三衬底内的预定深度;
在所述第三基准定向相对于所述第一基准定向基本上偏移大约45度的情况下使所述第三衬底与所述第一衬底结合;以及
分裂所述第三衬底以此将所述第三衬底的一部分迁移至所述第一衬底,其中所述第三衬底的迁移部分形成所述第二衬底。
35.如权利要求29所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括:
提供具有绝缘层的第三衬底,所述第三衬底被用来形成所述第二衬底,所述第三衬底具有位于第三衬底上<110>晶面位置处的第三基准定向;
将离子注入所述第三衬底内的预定深度;
在所述第三基准定向相对于所述第一基准定向基本上偏移大约45度的情况下使所述第三衬底与所述第一衬底结合,其中所述第一衬底还包括绝缘层并且其中在所述绝缘层处所述第三衬底与所述第一衬底结合;以及
分裂所述第三衬底以此将所述第三衬底的一部分迁移至所述第一衬底,其中所述第三衬底的迁移部分形成所述第二衬底。
36.如权利要求29所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括:
提供用来形成所述第二衬底的第三衬底,所述第三衬底具有位于第三衬底上<110>晶面位置处的第三基准定向;
在所述第三基准定向相对于所述第一基准定向基本上偏移大约45度的情况下使所述第三衬底与所述第一衬底结合;以及
蚀刻所述第三衬底至预定深度,将所述第三衬底的一部分留在所述第一衬底上,其中所述第三衬底的所述部分形成所述第二衬底。
37.如权利要求29所述的制造半导体衬底组件的方法,其中在所述第一衬底的顶部形成所述第二衬底还包括:
提供具有绝缘层的第三衬底,所述第三衬底被用来形成所述第二衬底,所述第三衬底具有位于第三衬底上<110>晶面位置处的第三基准定向;
在所述第三基准定向相对于所述第一基准定向基本上偏移大约45度的情况下使所述第三衬底与所述第一衬底结合,其中所述第一衬底还包括绝缘层并且其中在所述绝缘层处所述第三衬底与所述第一衬底结合;以及
蚀刻所述第三衬底至预定深度,将所述第三衬底的一部分留在所述第一衬底上,其中所述第三衬底的所述部分形成所述第二衬底。
CN200580021607.7A 2004-06-30 2005-06-08 高迁移率三栅器件及其制造方法 Active CN1977387B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/883,183 2004-06-30
US10/883,183 US7042009B2 (en) 2004-06-30 2004-06-30 High mobility tri-gate devices and methods of fabrication
PCT/US2005/020339 WO2006007350A1 (en) 2004-06-30 2005-06-08 High mobility tri-gate devices and methods of fabrication

Publications (2)

Publication Number Publication Date
CN1977387A CN1977387A (zh) 2007-06-06
CN1977387B true CN1977387B (zh) 2010-09-01

Family

ID=34972228

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580021607.7A Active CN1977387B (zh) 2004-06-30 2005-06-08 高迁移率三栅器件及其制造方法

Country Status (6)

Country Link
US (2) US7042009B2 (zh)
KR (1) KR100874960B1 (zh)
CN (1) CN1977387B (zh)
DE (1) DE112005001488B4 (zh)
TW (1) TWI287263B (zh)
WO (1) WO2006007350A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
JP2005128419A (ja) * 2003-10-27 2005-05-19 Nec Corp 光導波路構造およびその作製方法
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100585131B1 (ko) * 2004-02-20 2006-06-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7253072B2 (en) * 2004-05-13 2007-08-07 Texas Instruments Incorporated Implant optimization scheme
JP2006019578A (ja) * 2004-07-02 2006-01-19 Toshiba Corp 半導体装置及びその製造方法
US20060044690A1 (en) * 2004-08-31 2006-03-02 Buchan Nicholas I Method and apparatus for manufacturing silicon sliders with reduced susceptibility to fractures
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20070063279A1 (en) * 2005-09-16 2007-03-22 Tolchinsky Peter G Insulation layer for silicon-on-insulator wafer
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7670928B2 (en) * 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
TWI463655B (zh) * 2007-07-16 2014-12-01 Ibm 具有合併式源汲極的鰭式場效電晶體結構及形成該結構的方法
US7687859B2 (en) 2007-09-07 2010-03-30 Infineon Technologies Ag Electronic circuit and method of manufacturing an electronic circuit
US7629643B2 (en) * 2007-11-30 2009-12-08 Intel Corporation Independent n-tips for multi-gate transistors
US7763943B2 (en) * 2007-12-26 2010-07-27 Intel Corporation Reducing external resistance of a multi-gate device by incorporation of a partial metallic fin
US8030163B2 (en) * 2007-12-26 2011-10-04 Intel Corporation Reducing external resistance of a multi-gate device using spacer processing techniques
US20090206404A1 (en) * 2008-02-15 2009-08-20 Ravi Pillarisetty Reducing external resistance of a multi-gate device by silicidation
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
DE102008030853B4 (de) * 2008-06-30 2014-04-30 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Dreidimensionaler Transistor mit einer Doppelkanal-Konfiguration
CN101853882B (zh) * 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US20120132922A1 (en) * 2009-07-08 2012-05-31 Soitec Composite substrate with crystalline seed layer and carrier layer with a coincident cleavage plane
US8440998B2 (en) 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8349692B2 (en) * 2011-03-08 2013-01-08 Globalfoundries Singapore Pte. Ltd. Channel surface technique for fabrication of FinFET devices
US9559160B2 (en) * 2011-12-23 2017-01-31 Intel Corporation Common-substrate semiconductor devices having nanowires or semiconductor bodies with differing material orientation or composition
CN103367153B (zh) * 2012-03-31 2015-11-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN103378152B (zh) * 2012-04-24 2016-02-17 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
KR101289666B1 (ko) 2012-08-13 2013-07-26 한국과학기술원 벌크형 나노구조 트랜지스터 및 이의 제조방법
TWI524825B (zh) 2012-10-29 2016-03-01 財團法人工業技術研究院 碳材導電膜的轉印方法
US9991343B2 (en) * 2015-02-26 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. LDD-free semiconductor structure and manufacturing method of the same
US10529414B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1204157A (zh) * 1997-06-30 1999-01-06 西门子公司 具有小宽/长比的闭合晶体管
CN1500291A (zh) * 2001-12-13 2004-05-26 ���������ƴ���ʽ���� 互补型mis器件

Family Cites Families (447)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3387820A (en) 1965-05-24 1968-06-11 Continental Aviat & Engineerin Turbine engine construction
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR910010043B1 (ko) 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
US4994873A (en) 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US5278012A (en) 1989-03-29 1994-01-11 Hitachi, Ltd. Method for producing thin film multilayer substrate, and method and apparatus for detecting circuit conductor pattern of the substrate
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) * 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5278102A (en) 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5218213A (en) 1991-02-22 1993-06-08 Harris Corporation SOI wafer with sige
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
DE69213539T2 (de) 1991-04-26 1997-02-20 Canon Kk Halbleitervorrichtung mit verbessertem isoliertem Gate-Transistor
JPH05152293A (ja) 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) * 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) * 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
KR960002088B1 (ko) 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
JP3460863B2 (ja) 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3317582B2 (ja) 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) * 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
US5728594A (en) 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
GB2295488B (en) * 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5539229A (en) 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
JPH08204191A (ja) * 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) * 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW548686B (en) 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH10150185A (ja) 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JPH1140811A (ja) 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6351040B1 (en) 1998-01-22 2002-02-26 Micron Technology, Inc. Method and apparatus for implementing selected functionality on an integrated circuit device
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) * 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US20010040907A1 (en) 1998-06-12 2001-11-15 Utpal Kumar Chakrabarti Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP3167296B2 (ja) * 1998-07-31 2001-05-21 日本特殊陶業株式会社 樹脂製配線基板
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (ja) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
EP1082855A1 (en) 1999-03-26 2001-03-14 Koninklijke Philips Electronics N.V. Video coding method and corresponding video coder
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
DE60001601T2 (de) 1999-06-18 2003-12-18 Lucent Technologies Inc Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6159808A (en) 1999-11-12 2000-12-12 United Semiconductor Corp. Method of forming self-aligned DRAM cell
AU3970401A (en) 1999-11-29 2001-06-04 Trustees Of The University Of Pennsylvania, The Fabrication of nanometer size gaps on an electrode
US6150670A (en) 1999-11-30 2000-11-21 International Business Machines Corporation Process for fabricating a uniform gate oxide of a vertical transistor
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
KR20020001839A (ko) * 2000-02-23 2002-01-09 와다 다다시 웨이퍼 외주 챔퍼부의 연마방법 및 연마장치
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) * 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100360476B1 (ko) 2000-06-27 2002-11-08 삼성전자 주식회사 탄소나노튜브를 이용한 나노 크기 수직 트랜지스터 및 그제조방법
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
EP1299914B1 (de) 2000-07-04 2008-04-02 Qimonda AG Feldeffekttransistor
US6515339B2 (en) 2000-07-18 2003-02-04 Lg Electronics Inc. Method of horizontally growing carbon nanotubes and field effect transistor using the carbon nanotubes grown by the method
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002047034A (ja) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6770390B2 (en) * 2000-11-13 2004-08-03 Air Products And Chemicals, Inc. Carbon monoxide/water removal from fuel cell feed gas
US6716684B1 (en) 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
KR100767950B1 (ko) 2000-11-22 2007-10-18 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6410371B1 (en) 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
FR2822293B1 (fr) * 2001-03-13 2007-03-23 Nat Inst Of Advanced Ind Scien Transistor a effet de champ et double grille, circuit integre comportant ce transistor, et procede de fabrication de ce dernier
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002298051A (ja) 2001-03-30 2002-10-11 Mizuho Bank Ltd ポイント交換サービス・システム
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) * 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6534807B2 (en) 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6764965B2 (en) 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
FR2830123A1 (fr) 2001-09-26 2003-03-28 St Microelectronics Sa Peripherie haute tension
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
KR100398874B1 (ko) 2001-11-21 2003-09-19 삼성전자주식회사 티자형의 게이트 전극을 갖는 모스 트랜지스터 및 그 제조방법
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
TW543150B (en) * 2002-02-05 2003-07-21 Taiwan Semiconductor Mfg Structure of bonded wafer
US6784071B2 (en) * 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
FR2838238B1 (fr) 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
KR100471933B1 (ko) * 2002-05-20 2005-03-08 한국과학기술연구원 고 품질계수를 갖는 마이크로파 유전체 세라믹 조성물
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
DE10232804A1 (de) 2002-07-19 2004-02-12 Piv Drives Gmbh Landmaschine mit stufenlosem Kegelscheibengetriebe
KR100477543B1 (ko) 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (en) 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
JP2004071996A (ja) 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US8222680B2 (en) 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6864519B2 (en) 2002-11-26 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS SRAM cell configured using multiple-gate transistors
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US7214991B2 (en) 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
KR100487922B1 (ko) 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6686231B1 (en) 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6869868B2 (en) 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6867425B2 (en) 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
WO2004059703A1 (en) 2002-12-19 2004-07-15 International Business Machines Corporation Finfet sram cell using inverted finfet thin film transistors
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
EP1581968B1 (en) 2002-12-20 2010-05-12 International Business Machines Corporation Integrated antifuse structure for finfet and cmos devices
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6828628B2 (en) 2003-03-05 2004-12-07 Agere Systems, Inc. Diffused MOS devices with strained silicon portions and methods for forming same
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
US6787854B1 (en) * 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (ja) * 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) * 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
JP4689969B2 (ja) 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
WO2004097943A1 (ja) 2003-04-28 2004-11-11 Matsushita Electric Industrial Co., Ltd. 半導体装置とその製造方法
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
WO2004107452A1 (ja) 2003-05-30 2004-12-09 Matsushita Electric Industrial Co., Ltd. 半導体装置およびその製造方法
US7812340B2 (en) 2003-06-13 2010-10-12 International Business Machines Corporation Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7196372B1 (en) 2003-07-08 2007-03-27 Spansion Llc Flash memory device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7013447B2 (en) 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487566B1 (ko) * 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
JPWO2005022637A1 (ja) 2003-08-28 2007-11-01 日本電気株式会社 フィン型電界効果トランジスタを有する半導体装置
US6955969B2 (en) 2003-09-03 2005-10-18 Advanced Micro Devices, Inc. Method of growing as a channel region to reduce source/drain junction capacitance
US6998301B1 (en) 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US6888199B2 (en) 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
WO2005036651A1 (ja) 2003-10-09 2005-04-21 Nec Corporation 半導体装置及びその製造方法
EP1683193A1 (en) * 2003-10-22 2006-07-26 Spinnaker Semiconductor, Inc. Dynamic schottky barrier mosfet device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
KR100521384B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 반도체 소자 및 그 제조 방법
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
JP2005183770A (ja) 2003-12-22 2005-07-07 Mitsubishi Electric Corp 高周波用半導体装置
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7569882B2 (en) 2003-12-23 2009-08-04 Interuniversitair Microelektronica Centrum (Imec) Non-volatile multibit memory cell and method of manufacturing thereof
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US6997415B2 (en) 2003-12-31 2006-02-14 Gulfstream Aerospace Corporation Method and arrangement for aircraft fuel dispersion
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) * 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7701018B2 (en) 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
US6881635B1 (en) 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) * 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US7023018B2 (en) 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US7355233B2 (en) 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US6864540B1 (en) 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
JP5056011B2 (ja) 2004-06-10 2012-10-24 日本電気株式会社 半導体装置及びその製造方法、FinFETの製造方法
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7291886B2 (en) * 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
KR100541657B1 (ko) 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
JP2008523622A (ja) 2004-12-07 2008-07-03 サンダーバード・テクノロジーズ,インコーポレイテッド Fermi−FETのひずみシリコンとゲート技術
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) * 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7071047B1 (en) 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7470951B2 (en) 2005-01-31 2008-12-30 Freescale Semiconductor, Inc. Hybrid-FET and its application as SRAM
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20060180859A1 (en) 2005-02-16 2006-08-17 Marko Radosavljevic Metal gate carbon nanotube transistor
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
JP4825526B2 (ja) 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US20080017890A1 (en) 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7646046B2 (en) 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
EP2099757B1 (en) 2006-11-16 2014-06-25 Allergan, Inc. Sulfoximines as kinase inhibitors
US7678632B2 (en) 2006-11-17 2010-03-16 Infineon Technologies Ag MuGFET with increased thermal mass
US20080128797A1 (en) 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US7655989B2 (en) 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US20080212392A1 (en) 2007-03-02 2008-09-04 Infineon Technologies Multiple port mugfet sram
JP4406439B2 (ja) 2007-03-29 2010-01-27 株式会社東芝 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1204157A (zh) * 1997-06-30 1999-01-06 西门子公司 具有小宽/长比的闭合晶体管
CN1500291A (zh) * 2001-12-13 2004-05-26 ���������ƴ���ʽ���� 互补型mis器件

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CN 1204157 A,全文.
JP特开2003-229575A 2003.08.15

Also Published As

Publication number Publication date
CN1977387A (zh) 2007-06-06
US20060001109A1 (en) 2006-01-05
TWI287263B (en) 2007-09-21
US8084818B2 (en) 2011-12-27
TW200625465A (en) 2006-07-16
WO2006007350A1 (en) 2006-01-19
DE112005001488T5 (de) 2007-05-24
KR100874960B1 (ko) 2008-12-19
US20100065888A1 (en) 2010-03-18
KR20070022819A (ko) 2007-02-27
DE112005001488B4 (de) 2014-04-24
US7042009B2 (en) 2006-05-09

Similar Documents

Publication Publication Date Title
CN1977387B (zh) 高迁移率三栅器件及其制造方法
CN1577889B (zh) 具有应力施加层的非平面器件及制造方法
CN100541797C (zh) 有部分或全包围栅电极的非平面半导体器件及其制造方法
JP6211673B2 (ja) トリゲート・デバイス及び製造方法
US8138543B2 (en) Hybrid FinFET/planar SOI FETs
US8709890B2 (en) Method and structure for forming ETSOI capacitors, diodes, resistors and back gate contacts
TWI232489B (en) Multiple-gate transistors formed on bulk substrates
US8748258B2 (en) Method and structure for forming on-chip high quality capacitors with ETSOI transistors
KR101070845B1 (ko) FinFET 디바이스 구조의 형성 방법
US20110147840A1 (en) Wrap-around contacts for finfet and tri-gate devices
CN100446271C (zh) 场效应晶体管
TW200414543A (en) Novel field effect transistor and method of fabrication
US8350269B2 (en) Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer
CN101017851B (zh) 半导体器件及其制造方法
TW200410363A (en) Chip incorporating partially-depleted, fully-depleted and multiple-gate transistors and method of fabricating the multiple-gate transistor
CN103165459B (zh) 鳍式场效应晶体管及其制作方法
US10269944B2 (en) Fin semiconductor device and method of manufacture with source/drain regions having opposite conductivities
CN103325787B (zh) Cmos器件及其制造方法
US11575003B2 (en) Creation of stress in the channel of a nanosheet transistor
US20150108432A1 (en) High ion and low sub-threshold swing tunneling transistor
WO2005074035A1 (ja) 電界効果型トランジスタおよびその製造方法
US20190058044A1 (en) Fin-type fet with low source or drain contact resistance

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant