CN1934287B - 用于高介电常数含铪介电材料的原子层沉积的装置和方法 - Google Patents

用于高介电常数含铪介电材料的原子层沉积的装置和方法 Download PDF

Info

Publication number
CN1934287B
CN1934287B CN2005800084063A CN200580008406A CN1934287B CN 1934287 B CN1934287 B CN 1934287B CN 2005800084063 A CN2005800084063 A CN 2005800084063A CN 200580008406 A CN200580008406 A CN 200580008406A CN 1934287 B CN1934287 B CN 1934287B
Authority
CN
China
Prior art keywords
gas
hafnium
precursor
substrate
water vapour
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2005800084063A
Other languages
English (en)
Other versions
CN1934287A (zh
Inventor
施雷亚斯·科尔
普拉文·纳沃卡
拉库·沙瑞盖潘尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1934287A publication Critical patent/CN1934287A/zh
Application granted granted Critical
Publication of CN1934287B publication Critical patent/CN1934287B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T50/00Aeronautics or air transport
    • Y02T50/60Efficient propulsion technologies, e.g. for aircraft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0357For producing uniform flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/206Flow affected by fluid contact, energy field or coanda effect [e.g., pure fluid device or system]
    • Y10T137/2087Means to cause rotational flow of fluid [e.g., vortex generator]

Abstract

本发明的实施例提供用于在诸如原子层沉积(ALD)的气相沉积工艺期间在衬底上沉积介电材料的方法。在一实施例中,方法包括连续暴露衬底至铪前驱物和氧化气体以在其上含铪材料。在另一实施例中,通过连续暴露衬底至氧化气体和含有铪前驱物和硅前驱物的工艺气体中沉积硅酸铪材料。氧化气体含有通过通过氢源气体和氧源气体流经水蒸气发生器所形成的水蒸气。

Description

用于高介电常数含铪介电材料的原子层沉积的装置和方法
技术领域
本发明的实施方式主要涉及在衬底上沉积材料的方法和装置,更具体地,本发明的实施方式涉及通过气相沉积工艺来沉积高介电常数(k)的介电材料的方法和装置。
背景技术
在半导体加工、平板显示器加工或其他电子器件加工领域中,气相沉积工艺对在衬底上沉积材料起重要作用。随着电子器件的几何结构不断变小以及器件密度不断增加,特征的尺寸以及深宽比变得更加严格,例如,需要考虑0.07μm的特征尺寸以及10甚至更大的深宽比。因此,用以形成这些器件的材料的保形沉积变得越来越重要。
虽然传统的化学气相沉积(CVD)已表明可以成功地用在低至0.15μm的几何结构和深宽比的器件中,但更严格的器件几何结构需要另外的沉积技术。一种受到广泛关注的技术是原子层沉积(ALD)。在ALD工艺中,反应物气体依次被引入含有衬底的工艺腔室中。一般地,将第一反应物脉冲进入该工艺腔室并被吸附在衬底表面。将第二反应物脉冲进入该工艺腔室并使第二反应物和第一反应物反应形成沉积材料。通常在输送每种反应物气体之间进行清洗步骤。该清洗步骤可以是用载气的连续清洗或是在该反应物气体输送之间的脉冲清洗。
在ALD工艺中通过氧化金属和硅前驱物来形成高介电常数的介电材料在本领域中是公知的。臭氧或原子氧是用于ALD工艺的常用的氧化剂或者氧化源。在形成介电材料的沉积工艺中,由于臭氧和原子氧的自由基状态,会有利地维持低的工艺温度。虽然自由基氧化剂的一个属性是在低温下具有高反应性,但是在整个工艺腔室中发生不想要的副反应而在衬底上形成污染物是很普遍的。替代地,水或氧气可在ALD工艺中用作氧化源以形成介电材料。但是,由于水或氧气的中等的反应性,与使用游离氧源的ALD工艺相比,使用水或氧气作为氧化源的ALD工艺通常需要更低的流速、更长的暴露时间以及更高的温度。同样,使用水或者氧气的ALD工艺在每次氧化脉冲后需要一个延长的清洗时间,从而增加了制造产率。此外,慢的流速和高温通常增加在衬底表面上的污染物。
蒸汽氧化工艺已经在传统的CVD工艺中被用于钝化或者氧化金属或者硅材料。在一个例子中,将在第二容器内由沸水产生的水蒸气导管连接进入工艺腔室。在另一例子中,将氢气和氧气引入高温(如大于1000℃)预热的工艺腔室中。在这两个例子中,产生的水蒸气与金属表面或者硅表面发生反应从而形成介电材料,如金属氧化物或者硅氧化物。虽然上述的蒸汽氧化工艺可以产生有效的水蒸气而用于CVD工艺中,但是产生的水蒸气无法用于ALD工艺。源自蒸汽氧化工艺的水蒸气可能会在衬底表面形成污染物,并需要对工艺温度或者氧化水蒸气的成分进行适度的控制。同样,ALD工艺需要直接地接触被定量引入到工艺腔室的固定成分的反应物。
所以,需要用于沉积介电材料的设备和工艺,该工艺在低温情况下产生氧化气体,控制氧化气体和沉积的介电材料的成分,缩短工艺时间并使污染物最少。
发明内容
在一实施方式中,提供了一种于设置在工艺腔室内的衬底上形成含铪材料的方法,该方法包括:暴露衬底至铪前驱物以在该衬底上形成含铪层;用清洗气体清洗工艺腔室;暴露所述含铪层至氧化气体以在该衬底上形成铪氧化物材料;以及再次用清洗气体清洗工艺腔室。在一实施例中,通过将衬底暴露至硅前驱物而在铪氧化物材料上沉积硅氧化物材料以在该衬底上形成含硅层,清洗工艺腔室,将衬底暴露至氧化气体以在该衬底上形成上硅氧化物材料以及再次清洗工艺腔室。该方法还包括所述氧化气体含有水蒸气,所述含有水蒸气的氧化气体是通过使氢源气体和氧源气体流经水蒸气发生器所形成的。所述水蒸气发生器具有催化剂,该催化剂可以含有钯、铂、镍、铁、铬、钌、铑、它们的组合或者它们的合金。氢源气体和/或氧源气体可以用附加的气体稀释。例如,可以将在氮气中含有约5vol%氢的氢氮混合气用作氢源气体。在一些实施例中,向水蒸气发生器中提供过量的氧源气体以提供具有富含氧的水蒸气的氧化气体。在其他实施例中,将衬底在预浸工艺中暴露至氧化气体随后沉积含铪材料或者其他介电材料。
在另一实施方式中,提供了一种于原子层沉积工艺期间在衬底上沉积含铪材料的方法,该方法包括:将衬底设置在工艺腔室内;向水蒸气发生器中流入氢源气体和氧源气体以产生含有水蒸气的氧化气体;以及将衬底连续暴露至氧化气体和含有铪前驱物的工艺气体以在衬底上形成含铪材料。在一些实施例中,工艺气体含有二级前驱物,如硅前驱物或者铝前驱物。通过在工艺腔室内组合含有铪前驱物的气体和至少另一种含有二级前驱物的气体来形成工艺气体,例如通过提供含有所述前驱物的各气体的脉冲形成工艺气体。另外,通过蒸发含有至少所述铪前驱物和二级前驱物的反应物混合物来形成工艺气体。沉积后的含铪材料可以含有铪氧化物、硅酸铪、铪硅氮氧化物、铪氮氧化物、铝酸铪、它们的衍生物或它们的组合。
在另一实施方式中,提供了一种于原子沉积工艺期间在衬底上形成介电材料的方法,该方法包括:将衬底设置在工艺腔室内;以及将衬底连续暴露至氧化气体和至少一种前驱物,如铪前驱物、锆前驱物、硅前驱物、铝前驱物、钽前驱物、钛前驱物、镧前驱物或它们的组合。向水蒸气发生器流入氢源气体和氧源气体来形成水蒸气。在沉积工序中形成的介电材料的实例包括:铪氧化物、硅酸铪、锆氧化物、硅酸锆、镧氧化物、硅酸镧、钽氧化物、硅酸钽、钛氧化物、硅酸钛、铝氧化物、硅酸铝、硅氧化物、它们的衍生物或者它们的组合。在一个形成硅酸铪材料的实施例中,将衬底连续暴露至氧化气体和含有铪前驱物和硅前驱物的工艺气体。在另一实施例中,将衬底连续暴露至铪前驱物、氧化气体、硅前驱物和再次暴露至氧化气体中。
在另一实施方式中,提供了一种于工艺腔室内在衬底上形成含铪介电层叠的方法,该方法包括形成至少一铪氧化物层和至少一硅酸铪层。该方法包括将衬底连续暴露至氧化气体和含有铪前驱物的第一工艺气体以在衬底上形成第一含铪材料;以及将衬底连续暴露至氧化气体和含有铪前驱物的第二工艺气体以在所述第一含铪材料上形成第二含铪材料。在一实施例中,第一工艺气体还含有硅前驱物。该方法还包括向水蒸气发生器流入氢源气体和氧源气体以形成含有水蒸气的氧化气体。
附图说明
为了更详细地理解本发明的上述特征,参照实施方式对以上简要描述的本发明进行更具体的描述,部分实施方式绘示于附图中。然而,应该注意,附图中只示出了本发明典型的实施方式,因此不能认为是对本发明范围的限定,本发明可以允许其他等效的实施方式。
图1示出了本文所述的一实施方式中通过ALD工艺沉积含铪材料的一种工序;
图2A示出了根据本文所述的一实施方式配置的工艺系统的示意图;
图2B示出了本文所述的一实施方式中的水蒸气发生器系统的示意图;
图3示出了本文所述的另一实施方式通过ALD工艺沉积含铪材料的工序;
图4示出了本文所述的另一实施方式通过ALD工艺沉积含铪材料的工序;
图5A至5E示出了本文所述的实施方式中的ALD工艺中铪前驱物和硅前驱物的一些脉冲次序;
图6示出了本文所述的一实施方式中可以用于沉积工艺的工艺腔室的示意性截面图;
图7示出了本文所述的一实施方式中可用于沉积工艺的另一工艺腔室的示意性截面图;
图8示出了本文所述的一实施方式中可用于沉积工艺的另一工艺腔室的示意性截面图;
图9A和9B示出了可与本文所述实施方式的工艺腔室配合使用的隔热衬垫的示意图;以及
图10示出了本文所述的一实施方式中可以用于沉积工艺的工艺腔室盖组件的示意图。
具体实施方式
本发明提供通过原子层沉积工艺(ALD)在衬底表面沉积含铪材料和其他高介电常数的介电材料的方法。在一个方面,通过顺序脉冲引入铪前驱物和氧化气体到ALD工艺腔室中来实施ALD工艺从而形成含铪材料。该氧化气体包含水蒸气,所述氧化气体是由与ALD工艺腔室连接的水蒸气发生器(WVG)系统产生的。WVG系统通过将氢源气体和氧源气体暴露至催化剂而在低温下(如低于500℃)产生氧化气体。可以精确地控制氧化气体的组成以提供富含各种比例的氧和氢的水蒸气。利用WVG系统产生水蒸气的ALD工艺具有对沉积的介电材料的组成的元素控制,最少的污染物沉积在衬底上以及快速的处理时间而使制造产率提高。
工艺
图1示出了根据本发明一个实施方式用于形成诸如铪氧化物的含铪材料的示例性工序100。将衬底装载到能进行循环沉积的工艺腔室并调整工艺条件(步骤110)。工艺条件可以包括衬底温度或者工艺腔室温度、腔室压力、以及气体流速。在ALD循环开始前可将衬底可暴露于任选的预浸工艺(pre-soak process)并进行清洗(步骤115)。将衬底暴露于独自引入工艺腔室或者与载气一起引入工艺腔室的铪前驱物脉冲约0.1秒至约5秒范围的时间范围(步骤120)。随后将清洗气体脉冲引入至工艺腔室(步骤130)以清洗或者去除任何残留的铪前驱物或者副产物。下一步,将氧化气体脉冲引入到工艺腔室(步骤140)。氧化气体可以包括几种氧化剂的混合物,如水蒸气和氧气。再次将清洗气体脉冲引入到工艺腔室(步骤150)以清洗或者去除任何残留的氧化气体或者副产物。适合的载气或者清洗气体可以包括氦、氩、氮、氢气、氢氮混合气(forming gas)、氧气或者它们的组合。
这里使用的“脉冲”是指一定量的特定化合物被间歇地或非连续地引入到反应区或者工艺腔室。每个脉冲所含的特定化合物的量可以根据脉冲持续的时间随时间而变化。根据一些参数如所用工艺腔室的容量、结合至工艺腔室的真空系统以及特定化合物的挥发性/反应性,每个脉冲的持续时间是可变的。这里所用的“半反应”是指其后跟有去除步骤的暴露步骤。该暴露步骤提供将反应物引入到工艺腔室并在该工艺腔室中的衬底上吸附反应物或者使反应物发生化学反应,例如该暴露步骤提供含有反应物的工艺气体脉冲。清洗步骤提供通过引入气体(如清洗气体或者载气)到腔室内来去除腔室内过量的反应物或者反应副产物、用真空系统抽真空,或它们的结合。
参考步骤160,在每个沉积循环(步骤120至步骤150)之后,诸如铪氧化物的含铪材料层沉积在衬底上。通常,每个沉积循环形成厚度范围在约
Figure GSB00000611537100041
到约之间的沉积层。根据特定的器件要求,可能需要随后的沉积循环以沉积具有想要厚度的含铪材料。如此,可以重复沉积循环(步骤120至步骤150)以沉积预定厚度的含铪材料。因此,工序100在步骤170结束。通过沉积工艺形成的铪氧化物材料具有经验化学式HfOx。铪氧化物可以具有分子化学式HfO2,但是通过改变工艺条件(如时序、温度或者前驱物),铪氧化物可以是被较低程度氧化的,如HfO1.8。优选地,通过此处的工艺沉积分子化学式为HfO2或者氧∶铪浓度比小于2的铪氧化物。
如在步骤115所述,可将衬底暴露于预处理工艺或预浸工艺以用多种官能团封住衬底表面。如此所述,在开始沉积工艺之前有用的官能团包括羟基(OH);烷氧基(OR,其中R=Me、Et、Pr或者Bu)、卤氧基(OX,其中X=F、Cl、Br或者I)、卤化物(F、Cl、Br或者I)、氧自由基;以及氨基(NR或者NR2,其中R=H、Me、Et、Pr或者Bu)。预处理工艺可将衬底暴露于反应物,如NH3、B2H6、SiH4、SiH6、H2O、HF、HCl、O2、O3、H2O2、H2、原子H、原子N、原子O、醇、胺、它们的衍生物或者它们的组合。该官能团可以为引入的化学前驱物提供基座来附着在衬底的表面。该预处理工艺可以将衬底表面暴露于反应物中约1秒至约2分钟的周期,优选约5秒至约60秒。
在一个实施方式中,预浸工艺可以包括任选地将衬底暴露于含有水蒸气的氧化气体,所述含有水蒸气的氧化气体是由WVG系统产生的。该预浸工艺为衬底表面提供端羟基官能团,该官能团在随后的暴露中与含氨基型配位体的前驱物(如TDEAH、TDMAH、TDMAS或Tris-DMAS)发生反应。在预浸工艺中,衬底表面可以暴露于含水蒸气的氧化气体中约3秒至约90秒的时间范围,优选约5秒至约60秒,更优选约10秒至30秒。在该预浸工艺之后,通常用载气或清洗气体清洗工艺腔室以去除该工艺腔室中的过量的氧化气体和任何挥发性副产物。在形成含铪材料的实施例中,可将衬底表面暴露于由WVG系统产生的含水蒸气的氧化气体中约9秒。随后,清洗工艺腔室约6秒,并且通过提供含TDEAH或TDMAH的工艺气体脉冲来开始ALD工艺循环。在其他实施例中,例如为了形成含硅材料,可将衬底表面暴露于由WVG系统产生的含有水蒸气的氧化气体约15秒。随后,清洗工艺腔室约10秒,并且通过提供含TDMAS或Tris-DMAS的工艺气体脉冲来开始ALD工艺循环。
ALD工艺通常在压力范围为约1Torr至约100Torr的工艺腔室中实施,优选的压力范围为约1Torr至约20Torr,更优选约1Torr至约10Torr,衬底的温度通常维持在约70℃至约1000℃,优选约100℃至约650℃,更优选约250℃至约500℃的范围。
在步骤120中,以约5标准立方厘米每分钟(sccm)至约200sccm的速度将铪前驱物引入工艺腔室中。铪前驱物通常与诸如氮气的载气一起以总流速为约50sccm至约1000sccm被引入。根据特定的工艺条件、铪前驱物或所沉积的含铪材料所要求的组成,可以以约0.1秒至约10秒的脉冲周期将铪前驱物脉冲到工艺腔室。在一个实施方式中,以约1秒至约5秒,如约3秒的脉冲周期将铪前驱物脉冲进入工艺腔室。在另一实施方式中,以约0.1秒至约1秒,如约0.5秒的脉冲周期将铪前驱物脉冲进入工艺腔室。在一个实施方式中,铪前驱物优选铪四氯化物(HfCl4)。在另一实施方式中,铪前驱物优选四(二烷基氨基)铪化合物,如四(二乙基氨基)铪((Et2N)4Hf或TDEAH)。
如图2A所示,铪前驱物通常通过将载气引入含有所述铪前驱物的安瓿282而分配到工艺腔室280内。安瓿282可以包括安瓿瓶、球状物、筒状物或者其他用于容纳或者分配化学前驱物的容器。适用的安瓿可由位于美国康涅狄格Danbury的Advanced TechnologyMaterials,Inc提供,如PROE-VAPTM。安瓿282与工艺腔室280通过导管283流体连通,导管283可以是管子(tube)、管路(pipe)、管线(line)、软管(hose)或者其他的本领域公知的管。同样,安瓿282与工艺腔室280分开距离284,距离284通常小于约2米,优选小于约1.25米,更优选该距离284为0.7米或者更短。可以使距离284最小以维持均匀的铪前驱物流。同样,尽管导管283可以是直的或者具有弯曲部分,但导管283优选为直的或者具有尽可能少的弯曲部分。导管283可以缠绕有加热带以维持预定的温度。根据安瓿282中的铪前驱物而将安瓿282的温度维持在如约20℃至约300℃的范围内。在一实施方式中,含HfCl4的安瓿282的温度在约150℃至约200℃之间。
在一个实施方式中,安瓿282可以是包含喷射阀门系统281的流体输送系统的一部分,喷射阀门系统281通过管283与安瓿282和工艺腔室280相连接,载气源通常连接到喷射阀门系统281(未示出)。含液体前驱物(如TDEAH、TDMAH、TDMAS或Tris-DMAS)的安瓿282可以被加压以将该液体前驱物输送到喷射阀门系统281。通常,含液体前驱物的安瓿282可加压到约138kPa(约20psi)至约414kPa(约60psi)之间并可以加热到约100℃或更低的温度,优选约20℃至约60℃之间。喷射阀门系统281将液体前驱物与载气结合以形成前驱物蒸汽,该前驱物蒸汽被射入工艺腔室280中。载气可以包括氮、氩、氦、氢或它们的组合,并且载气可以预加热到约85℃至约160℃之间的温度,适用的喷射阀门可以获自位于日本京都的Horiba-Stec。
在步骤140中,将氧化气体以约0.05sccm至约1000sccm之间,优选约0.5sccm至约100sccm的流速引入到工艺腔室280中。所述氧化气体以约0.05秒至约10秒的脉冲周期被脉冲引入到工艺腔室280中,优选约0.08秒至约3秒,更优选约0.1秒至约2秒。在一个实施方式中,氧化气体以约1秒至约5秒如约1.7秒的脉冲周期被脉冲引入。在另一实施方式中,氧化气体以约0.1秒至约3秒如0.5秒的脉冲周期被脉冲引入。
可以由与工艺腔室280通过导管287流体连通的水蒸气发生器(WVG)系统286产生氧化气体。配件212和214可以用于将导管287连接至WVG系统或者连接至工艺腔室280。适用的配件包括由Fujikin of America,Inc.提供的UPG配件。通常,导管287通过ALD阀门组件与工艺腔室280流体连通。导管可以是由金属(如不锈钢或者铝)、橡胶或塑料(如PTFE)制成的管子、管路、管线或软管。在一个实施例中,将由不锈钢316L制成的导管用作导管287。WVG系统286通过氧源气体(如O2)和氢源气体(H2)在低温(如低于500℃)下的催化反应产生超高纯度的水蒸气。氢源气体和氧源气体各自以约5sccm至约200sccm的速度流入WVG系统286,优选的流速为约10sccm至约100sccm之间。通常,可以单独调整氧源气体和氢源气体的流速以在流出的氧化气体中含有氧气或氧源气体,而不含有氢气或氢源气体。
用于产生含水蒸气的氧化气体的氧源气体可以包括氧气(O2)、原子氧(O)、臭氧(O3)、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、五氧化二氮(N2O5)、过氧化氢(H2O2)以及它们的衍生物或者它们的组合。用于产生含水蒸气的氧化气体的氢源气体可以包括氢气(H2)、原子氢(H)、氢氮混合气(N2/H2)、氨(NH3)、烃(如CH4)、醇(如CH3OH)以及它们的衍生物或者它们的组合。载气可以与氧源气体或者氢源气体并流,并且载气可以包括N2、He、Ar或者它们的组合。优选地,氧源气体为氧气或者一氧化二氮,氢源气体为氢气或者氢氮混合气,如含5vol%氢的氮气。
氢源气体和氧源气体可以用载气稀释,以在沉积工艺中对氧化气体中的水蒸气含量进行灵敏的控制。在一个实施方式中,需要较慢的水蒸气流速(约<10sccm水蒸气)以在ALD工艺期间完成化学反应而形成含铪材料或其他介电材料。较慢的水蒸气流速会稀释氧化气体中水蒸气的浓度。稀释后的水蒸气的浓度为可以氧化衬底表面上吸附的前驱物。因此,较慢的水蒸气流速使水蒸气暴露后的清洗时间最小以提高制造产率。同样,该较慢的水蒸气流速通过避免不需要的共反应来减少颗粒污染物的形成。质量流控制器(MFC)可以用于控制氢源气体具有约0.5sccm的流速同时产生流速约为0.5sccm的水蒸气流。然而,绝大部分MFC系统在这样慢的流速下无法提供均匀的流速。所以,稀释后的氢源气体(如氢氮混合气)可以用于WVG系统,以实现较慢的水蒸气流速。在一个实施例中,流速为约10sccm并且含5%氢的氢氮混合气的氢源气体输送流速为约0.5sccm的来自WVG系统的水蒸气。在替代的实施方式中,需要较快的水蒸气流速(约>10sccm的水蒸气)以在ALD工艺期间完成化学反应同时形成含铪材料或者其他介电材料。例如,约100sccm的氢气输送约100sccm的水蒸气。
氢氮混合气可以选择为在诸如氩或氮的载气中具有的氢浓度为按体积计约1%至约95%的范围。在一个方面,载气中氢氮混合气的氢浓度为按体积计约1%至约30%的范围,优选约2%至约20%,更优选约3%至约10%,例如,氢氮混合气可以含约5%的氢和约95%的氮。另一方面,氢氮混合气的氢浓度占载气体积的约30%至约95%,优选地为约40%至约90%,更优选地为约50%至约85%,例如,氢氮混合气可以含有约80%的氢和约20%的氮。
在一个实施例中,WVG系统接受一流速为约10sccm的含有5%氢(95%氮)的氢源气体和一流速为约10sccm的氧源气体(例如O2)以形成含有流速为约0.5sccm水蒸气和流速为约9.8sccm的氧的氧化气体。在另一实施例中,WVG系统接受流速为约20sccm的含5%氢的氢氮混合气的氢源气体和流速为约10sccm的氧源气体以形成含有流速为约1sccm的水蒸气和流速为约9sccm的氧的的氧化气体。在另一实施例中,WVG系统接受流速为约20sccm的含氢气的氢源气体和流速为约10sccm的氧源气体以形成含有流速为约10sccm的水蒸气和流速为约9.8sccm的氧的氧化气体。在其他实施例中,在ALD工艺中使用一氧化二氮作为氧源气体和氢源气体一起形成水蒸气。通常,2摩尔当量的一氧化二氮可以代替1摩尔当量的氧气。
WVG系统含催化剂,如衬有催化剂的反应器或者催化剂筒,在该WVG系统中,通过氢源气体和氧源气体之间的催化化学反应产生含水蒸气的氧化气体。WVG系统不同于通过燃烧反应(通常温度高于1000℃)来产生水蒸气的高温发生器。含有催化剂的WVG系统通常在范围约100℃至约500℃的低温下产生水蒸气,优选在约350℃或更低。催化反应器内所含的催化剂可以包括金属或者合金,如钯、铂、镍、铁、铬、钌、铑,它们的合金或它们的组合。在本发明的ALD工艺中,超高纯度的水是理想的。在一个实施方式中,为了避免未反应的氢向下游流动,允许氧源气体流过WVG系统约5秒。下一步,允许氢源气体进入反应器内5秒左右。氧源气体和氢源气体(如H2和O2)的催化反应产生水蒸气。通过调节氧源气体和氢源气体的流速可以精确地控制所形成的含水蒸气的氧化气体中氧和氢的浓度。水蒸气可以包含残余的氧源气体、氢源气体或者它们的组合。适当的WVG系统可以是获自位于加利福尼亚Santa Clara的Fujikin of America,Inc.的水蒸气发生器(WVG)系统,也可以是获自位于加利福尼亚Menlo Park的Ultra Clean Technology公司的催化剂流发生系统(CSGS)。
图2B示出了WVG系统286的一种结构。氢源262、氧源264和载气源266通过管系统261连接到WVG系统286。管系统261包含管和阀门,管和阀门允许来自氢源262、氧源264和/或载气源266的气体单独地通过进气口267和气体过滤器268与催化剂反应器270流体连通。水蒸气在催化剂反应器270中形成并从催化剂反应器270中发出。同样,管系统261包含管和阀门,管和阀门允许来自氢源262和氧源264的气体从接合处271分别绕过催化剂反应器270。所以另外的氢源气体和/或氧源气体可以绕过催化剂反应器270并与水蒸气结合以形成富含氧或氢的氧化气体。气体传感器272和气体过滤器274在催化剂反应器270下游连接到管系统261。气体传感器272可以用于测定氧化气体的组成,包括氧气的浓度、氢气的浓度以及水的浓度。氧化气体可以在退出WVG系统286之前流过气体过滤器274。
在步骤130和步骤150,以约2slm(标准升每分钟)至约22slm的流速,优选约10slm的流速引入清洗气体(优选氩或氮)脉冲。每个工艺循环(步骤120至步骤150)持续约0.01秒至约20秒的时间范围。在一个实施例中,该工艺循环持续约10秒。在另一实施例中,该工艺循环持续约2秒。持续约10秒的较长工艺步骤可以沉积出优异的含铪薄膜,但是产率降低。通过实验可以获得工艺循环的特定清洗气体流速和持续时间。在一个实施例中,在相同持续时间的情况下,为了维持相似的产率,直径300mm的晶片需要的流速是直径200mm晶片的约两倍。
在一个实施方式中,将氢气用作载气、清洗气体和/或反应物气体以降低沉积材料中的卤素污染。包含卤素原子的前驱物(如HfCl4、SiCl4和Si2Cl6)易于污染沉积的介电材料。氢是还原剂并会产生挥发的并可去除的副产物卤化氢(如HCl)。所以,当氢与前驱化合物(如铪前驱物、硅前驱物、氧前驱物)结合后,氢可以用作载气或者反应物气体,并可以包含另外的载气(如Ar或者N2)。在一个实施例中,使用温度在约100℃至约500℃的水/氢混合物来降低卤素浓度并增加沉积材料的氧浓度。在一个实施例中,水/氢混合物可以通过将过量的氢源气体送料到WVG系统中以形成富含氢的水蒸气来产生。
在另一实施方式中,图3示出了一用于形成含铪材料(如硅酸铪)的示例性工序200。将衬底装载到能够进行循环沉积的工艺腔室中并且调整工艺条件(步骤205)。可将衬底在开始ALD循环前暴露于任选的预浸工艺并进行清洗(步骤207)。将衬底暴露于被引入工艺腔室中的铪前驱物脉冲约0.1秒至约5秒的时间范围(步骤210)。将清洗气体脉冲引入工艺腔室(步骤215)以清除或者去除任何残留的铪前驱物或副产物。下一步,将氧化气体脉冲引入到工艺腔室约0.1秒至约10秒的时间范围(步骤220)。氧化气体可以包括几种氧化剂,如来自WVG系统的水蒸气和氧。再次将清洗气体脉冲引入到工艺腔室(步骤225),以清洗或去除任何残余的氧化化合物或副产物。随后,将衬底暴露于被引入工艺腔室的硅前驱物脉冲中约0.1秒至约10秒的时间范围(步骤230)。将清洗气体脉冲再次脉冲进入到工艺腔室(步骤235)以清洗或去除任何残留的硅前驱物或副产物。下一步,将另一氧化气体脉冲引入工艺腔室持续约0.1秒至约10秒的时间(步骤240)。再次将清洗气体脉冲引入到工艺腔室(步骤245)以清洗或者去除任何残留的氧化化合物或者副产物。适当的载气或者清洗气体可以包括氦、氩、氮、氢、氢氮混合气、氧气或者它们的组合。
参考步骤250,在各沉积循环(步骤210至步骤245)后,具有第一厚度的含铪材料如硅酸铪沉积到衬底表面。通常,各沉积循环形成厚度约至约的沉积层。根据特定的器件要求,可能需要后续的沉积循环以沉积预定厚度的含铪材料。可以重复沉积循环(步骤210至步骤245)直到在步骤250实现需要的或者预定的厚度,随后,在步骤260结束工序200。
通过此处所述的沉积工艺形成的硅酸铪材料具有经验化学式HfSiyOx,硅酸铪可以是铪氧化物(HfOx或HfO2)和硅氧化物(SiOx或SiO2)的同源混合物或单相HfSiO4材料。硅酸铪可以具有分子化学式HfSiO4,但是通过改变工艺条件(如时序、温度、前驱物),硅酸铪的元素浓度可能不同,如HfSiO3.8或HfSi0.8O3.8
图3所示的ALD工艺通常发生在压力为约1Torr至约100Torr的工艺腔室中,优选的压力为约1Torr至约20Torr,并且更优选1Torr至约10Torr。衬底的温度通常介于约70℃至约1000℃,优选约100℃至约650℃,更优选约250℃至约500℃。任选步骤207中的预浸工艺后开始ALD循环,预浸工艺可以包括将衬底暴露于WVG系统产生的含有水蒸气的氧化气体,如步骤115所述。
在步骤210中,以约5sccm至约200sccm的流速将铪前驱物引入到工艺腔室中。铪前驱物通常与诸如氮气的载气一起以总流速从约50sccm至约1000sccm被引入。将铪前驱物脉冲以约0.1秒至约10秒的脉冲周期脉冲到工艺腔室。在一实施方式中,以约1秒至约5秒,例如,约3秒的脉冲周期脉冲铪前驱物。在另一实施方式中,以约0.1秒至约1秒如0.5秒的脉冲周期脉冲铪前驱物。在一些实施例中,铪前驱物优选铪四氯化物,但是其他的实施例中,铪前驱物优选TDEAH或者其他的四(二烷基氨基)铪化合物。
在一实施方式中,铪前驱物通常通过将载气引入由含铪前驱物的安瓿282引入而被分配到工艺腔室280中,如图2A所示。安瓿282根据内部的铪前驱物而维持一定的温度,如约20℃至约300℃。在一个实施例中,含HfCl4的安瓿282的温度为约150℃至约200℃;在另一实施例中,含液体前驱物(如TDEAH、TDMAH、TDMAS或Tris-DMAS)的安瓿282可以被加压以将液体前驱物输送到喷射阀系统281。通常,含液体前驱物的安瓿282加压到约138kPa(约20psi)至约414kPa(约60psi)的压力并可以加热到100℃或者更低,优选约20℃至约60℃。喷射阀系统281将液体前驱物与载气组合以形成被引入工艺腔室280中的前驱物蒸气。载气可以包括氮、氩、氦、氢或者它们的组合,载气可以预热到从约85℃至约150℃的温度。
在步骤220和步骤240中,将含水蒸气的氧化气体以约20sccm至约1000sccm,优选约50sccm至约200sccm的速度引入到工艺腔室280中。根据特定的工艺条件和沉积的含铪材料的组成要求,以约0.1秒至约10秒的脉冲周期将氧化气体脉冲进入到工艺腔室280内。在一实施方式中,氧化气体的脉冲周期为约1秒至约3秒,如约1.7秒。在另一实施方式中,氧化气体的脉冲周期为约0.1秒至约1秒,如约0.5秒。
氧化气体可以由WVG系统286产生,该WVG系统通过导管287与工艺腔室280流体连通。氢源气体(H2)和氧源气体(O2)各以约20sccm至约300sccm的速度独立流入WVG系统286。通常,氧源气体的流速高于氢源气体的流速。在一实施例中,氢源气体的流速约为100sccm,而氧源气体的流速约为120sccm从而使水蒸气富含氧气。
在另一WVG系统的实施方式中,氢气的流速高于氧气的流速,如,氢源气体的流速约为250sccm,氧源气体的流速约为100sccm。所以,从WVG系统中流出的水蒸气富含氢。例如,当氢源气体的流速为约250sccm而氧源气体的流速为约100sccm时,流出的氧化气体含部分流速为约100sccm的水蒸气和流速为约50sccm的氢气。富含氢的水蒸气具有几个重要的功能。首先,水蒸气中过量的氢增加了某些污染物如卤素的清除率。在含HfCl4或其他卤化前驱物的沉积工艺中,过量的氢与氯反应形成氯化氢,该氯化氢是易于通过清洗步骤被去除的挥发产物。其次,水蒸气中过量的氢防止某些金属栅层氧化。在MIM电容器或器件中,一层叠式结构可以含有夹在两金属层如铝或钨之间的介电层,当形成如硅酸盐化合物的介电层时,过量的氢可以还原金属层而水蒸气氧化介电层。
在步骤230中,以约5sccm至约200sccm或者约1mg/min至约50mg/min的流速将硅前驱物引入到工艺腔室,优选的流速为约5mg/min至约25mg/min。硅前驱物通常与诸如氮气的载气以约50sccm至约1000sccm的总流速一起被引入。根据特定的工艺和所需的硅浓度,以约0.1秒至约10秒范围的脉冲周期将硅前驱物脉冲进入工艺腔室。在一实施方式中,以约1秒至约5秒,如约3秒的脉冲周期脉冲硅前驱物。在另一实施方式中,以约0.1秒至约1秒,如约0.5秒的脉冲周期脉冲硅前驱物。在一些实施方式中,优选的硅前驱物为三(二甲基氨基)硅烷((Me2N)3SiH或Tris-DMAS)、四(二甲基氨基)硅烷((Me2N)4SiH或TDMAS)或者其他二烷基氨基硅烷,而在其他的例子中,硅前驱物优选硅烷(SiH4)。
在步骤215、225、235和245中,通常以约2slm至约22slm的流速引入清洗气体(如氩或氮)的脉冲,优选的流速为约10slm。每个工艺循环(步骤210至步骤245)发生的时间为约2秒至约40秒。在一个实施例中,工艺循环的持续时间约为20秒,而在另一实施例中,工艺循环的持续时间约为4秒。持续约20秒的更长工艺步骤会沉积极好的含铪薄膜,但是产率降低。
在另一实施方式中,可以通过省略任一引入氧化气体的步骤以及随后的清洗步骤来形成含铪材料,如硅酸铪。在一实施例中,省略步骤220和225,从而可以通过顺序地脉冲铪前驱物、清洗气体、硅前驱物、清洗气体、氧化气体和清洗气体来形成硅酸铪材料。在另一实施例中,省略步骤240和245,从而可以通过顺序地脉冲铪前驱物、清洗气体、氧化气体、清洗气体、硅前驱物和清洗气体来形成硅酸铪材料。
图4示出根据本发明的另一实施方式用于形成含铪材料,如硅酸铪的示例性工序300。将衬底装载到能够形成循环沉积的工艺腔室中并且调整工艺条件(步骤310)。在开始ALD周期以前将衬底暴露于预浸工艺中并进行清洗(步骤315)。将该衬底暴露于在时间上完全或者至少部分重叠的铪前驱物脉冲和硅前驱物脉冲中,所述两脉冲被引入工艺腔室约0.1秒到约5秒的时间范围(步骤320)。将清洗气体脉冲输送到工艺腔室中以清洗或者去除残留的铪前驱物、硅前驱物或者副产物。接下来,将氧化气体脉冲引入工艺腔室中(步骤340)。该氧化气体可以包括几种氧化剂,如来自WVG系统的水蒸气和氧。再次将清洗气体脉冲引入至该处理腔室中(步骤350)以清洗或者以其他方式去除所任何残留的还原化合物。适用的载气或者清洗气体包括氦、氩、氮、氢、氢氮混合气、氧或它们的组合。
参照步骤360,在各沉积循环(步骤320到350)以后,具有第一厚度的含铪材料(如硅酸铪)将沉积在该衬底表面。在该ALD工艺中,每个循环均形成厚度范围为约
Figure GSB00000611537100111
到约
Figure GSB00000611537100112
的层。根据具体的器件需求,可能需要后续沉积循环来沉积具有预定厚度的含铪材料。可以重复沉积循环(步骤320到350)直到在步骤360达到含铪材料的所需或者预定厚度,并在步骤370停止工序300。
图4所示的ALD工艺的工艺腔室的压力范围为约1Torr到约100Torr,优选约1Torr到约20Torr,并更优选约1Torr到约10Torr。通常该衬底温度范围为约70℃到约1,000℃,优选为约100℃到约650℃,并且更优选为约250℃到约500℃。在步骤315中的任选的预浸工艺后启动ALD循环并且该预浸工艺可以包括将该衬底暴露于WVG系统产生的含有水蒸气的氧化气体中,如步骤115所述。
在步骤320中,通过使铪前驱物和硅前驱物流入工艺腔室而引入这两种前驱物作为前驱物脉冲,即,脉冲的前驱物为向工艺腔室中引入所述前驱物。在图5A-5E中,t1对应于在步骤320期间脉冲引入的铪前驱物和硅前驱物的时间周期,而t2对应于步骤330、340和350期间的时间周期。这里没有按比例绘制时间周期t1和t2。在图5A所示的实施方式中,在同一时间周期独立地脉冲引入铪前驱物和硅前驱物,使得两种前驱物均在整个t1流入。例如,同时脉冲引入铪前驱物和硅前驱物约2秒钟。
在图5B-5C所示的另一实施方式中,独立脉冲引入铪前驱物和硅前驱物,使得在整个t1流入第一前驱物,而在t1的中间阶段流入第二前驱物。例如,在图5B中t1的持续时间约为2秒,脉冲引入铪前驱物约2秒并在脉冲引入铪前驱物的中间阶段脉冲引入硅前驱物持续约1.5秒。或者,在图5C中t1的持续时间约为2秒,并在脉冲引入铪前驱物的中间阶段脉冲引入硅前驱物持续约1.5秒。
在图5D-5E所示的另一实施方式中,以部分重叠的方式独立脉冲引入铪前驱物和硅前驱物,使得第一前驱物在t1开始时流入而未到达t1末端就结束,第二前驱物在t1开始时不流入但是却到t1末端才结束。例如,在图5D中t1的持续时间约为2秒,在t1开始时脉冲引入铪前驱物持续约1.5秒,而从开始脉冲引入硅前驱物到t1末端的时间约为1.5秒。在另一实施方式中,在图5E中t1的持续时间约为2秒,在t1开始时脉冲引入硅前驱物持续约1.75秒,而从开始脉冲引入铪前驱物到t1末端的时间约为1.5秒。
可选地,在时间周期t1的任意部分期间均可以脉冲引入第一前驱物(例如,铪前驱物),同时在时间周期t1的任意部分期间也可以脉冲引入重叠或者不重叠的第二前驱物(例如,硅前驱物)。因此,铪前驱物、硅前驱物或者其他前驱物可以以时间部分重叠或者无时间重叠的方式独立地脉冲引入该工艺腔室。在一个实施例中,t1的持续时间约为2秒,脉冲引入铪前驱物约2秒并在脉冲引入铪前驱物期间脉冲引入硅前驱物约0.5秒。在另一实施例中,t1的持续时间约为2秒,脉冲引入铪前驱物约0.5秒并在无重叠或者不在铪前驱物脉冲期间脉冲引入硅前驱物约0.5秒。在另一实施例中,t1的持续时间约为2秒,脉冲引入铪前驱物约0.5秒并在重叠或者在铪前驱物脉冲期间脉冲引入硅前驱物约0.5秒。此外,在时间周期t1还可以脉冲引入多脉冲第一前驱物和第二前驱物。
在步骤320期间,以约5sccm到200sccm的流速将铪前驱物引入到工艺腔室中。该铪前驱物通常和诸如氮气的载气一起流入,它们的总体流速范围为约50sccm到约1000sccm。将铪前驱物以约0.1秒到约10秒的脉冲周期脉冲到工艺腔室中。在一个实施方式中,以约1秒到约5秒,例如约3秒的脉冲周期脉冲引入铪前驱物。在另一实施方式中,以约0.1秒到约1秒,例如约0.5秒的脉冲周期脉冲引入铪前驱物。在某些实施例中,该铪前驱物优选为四氯化铪,而在其他实施例中,铪前驱物优选为TDEAH。
通常通过将载气引入含有铪前驱物的安瓿282而将铪前驱物分配到工艺腔室280中,如图2A所示。该载气和铪前驱物形成经过管283进入工艺腔室280的前驱物蒸汽。根据铪前驱物,该安瓿282的温度保持在约20℃到约300℃。在一个实施例中,含有HfCl4的安瓿282温度范围为约150℃到约200℃。在另一实施例中,可以对含有液体前驱物(例如,TDEAH、TDMAH、TDMAS或者Tris-DMAS)的安瓿282施加压力以将该液体前驱物输送到喷射阀系统281中。通常,对于含有液体前驱物的安瓿282施加约138kPa(约20psi)到约414kPa(60psi)范围的压力并且可以加热到约100℃或更低的温度,优选范围为约20℃到约60℃。该喷射阀系统281将液体前驱物与载气结合以形成注入到工艺腔室280中的前驱物蒸汽。载气可以包括氮气、氩气、氦气、氢气或者它们的组合并且可以将该载气预热到约85℃到约150℃的温度范围。
在步骤320期间,以约5sccm到约200sccm或者约1mg/min到约50mg/min的流速范围将硅前驱物引入到工艺腔室中,优选为约5mg/min到约25mg/min。通常采用诸如氮气的载气以约50sccm到约1,000sccm的总流速引入该硅前驱物。以约0.1秒到约10秒的脉冲周期将该硅前驱物脉冲引入到工艺腔室中。在一实施方式中,以约1秒到约5秒,例如约3秒的脉冲周期脉冲引入该硅前驱物。在另一实施方式中,以约0.1秒到约1秒,例如约0.5秒的脉冲周期脉冲引入该硅前驱物。在某些实施例中,硅前驱物优选为Tris-DMAS或者TDMAS,而在其他实施例中,该硅前驱物优选为硅烷。
在步骤320期间的替代的实施方式中,可在将前驱物脉冲引入工艺腔室以前结合铪前驱物和硅前驱物。通过按比例结合铪前驱物和硅前驱物形成铪/硅前驱物混合物以在沉积的含铪材料中达到所需的Hf∶Si比。通过使载气流过安瓿中的前驱物混合物形成含有铪/硅前驱物混合物的工艺气体。通过ALD工艺顺序地脉冲引入铪/硅前驱物混合物以及氧化气体以形成含铪材料,如硅酸铪材料。通过这里所述的工艺沉积的硅酸铪具有经验化学分子式HfSiyOx,通过改变铪/硅前驱物混合物中铪前驱物和硅前驱物的摩尔比可以调整y。例如,如果铪前驱物和硅前驱物的比例大于1,则y可能小于1。但是,如果铪前驱物和硅前驱物的比例小于1,则y可能大于1。
在步骤340中,以约20sccm到约1,000sccm的流速范围将氧化气体引入到工艺腔室280中,优选范围为约50sccm到约200sccm。以约0.1秒到约10秒的脉冲周期将该氧化气体脉冲引入到工艺腔室280中。在一实施方式中,以约1秒到约3秒,例如约1.7秒的脉冲周期脉冲引入该氧化气体。在另一实施方式中,以约0.1秒到约1秒,例如约0.5秒的脉冲周期脉冲引入该硅前驱物。
在工序300的一个实施方式中,从WVG系统286产生氧化气体,该WVG系统286通过导管287与工艺腔室280流体连通。氢源气体和氧源气体各以约20sccm到约200sccm的流速范围流入WVG系统286中。通常,氧源气体的流速大于氢源气体的流速,例如,该氢源气体流速为约100sccm而所述氧源气体流速为约120sccm。因此,从WVG系统286中流出的水蒸气中富含氧。例如,当该氢源气体流速为约100sccm而所述氧源气体流速为约120sccm时,氧化气体的流出物包括部分流速为约100sccm的水蒸气和流速为约70sccm的氧。在另一实施例中,该氢源气体流速为约250sccm而所述氧源气体流速为约100sccm。因此,从WVG系统286流出的水蒸气中富含氢。
在步骤330和350期间,通常以约2slm到约22slm的流速范围,优选为约10slm引入诸如氩气或者氮气的清洗气体脉冲。每个工艺循环(步骤320到350)发生的时间范围为约0.5秒到约20秒。在一个实施例中,该工艺循环持续10秒。在另一实施例中,该工艺循环约持续2秒。
在含有工序100、200和300的某些实施方式中,可以采用诸如传统氧化剂的替代氧化气体来代替在WVG系统中形成的含有水蒸气的氧化气体。将来自并非源自WVG系统的含水的氧源的替代氧化气体引入到工艺腔室中,该替代气体例如氧气(O2)、臭氧(O3)、氧原子(O)、双氧水(H2O2)、一氧化二氮(N2O)一氧化氮(NO)、五氧化二氮(N2O5)、二氧化氮(NO2)、它们的衍生物或者它们的组合。尽管本发明的实施方式提供了受益于从WVG系统形成的含有水蒸气的氧化气体的工艺,但是在这里所述沉积工艺期间形成含铪材料或者其他介电材料时,其他实施方式也可以提供采用替代的氧化气体或者传统氧化剂的工艺。
多种前驱物落入在此所述的用于沉积介电材料的本发明实施方式的范围内。一个重要的前驱物特性为具有良好的蒸汽压。前驱物在环境温度和压力下可以为气体、液体或者固体。但是,在ALD腔室内使用蒸发的前驱物。有机金属化合物含有至少一金属原子和至少一含有机物的官能团,如酰胺基、烷基、烷氧基、烷氨基或者苯胺。前驱物可以包括有机金属化合物、无机化合物或者卤化物。
示例性铪前驱物包括含有配位体的铪化合物,该配位体例如卤化物、烷基氨基、环戊二烯基、烷基、醇盐、它们的衍生物或者它们的组合。用作铪前驱物的铪卤化物可以包括HfCl4、Hfl4和HfBr4。用作铪前驱物的烷基氨基铪化合物包括(RR’N)4Hf,其中R或者R’独立地为氢、甲基、乙基、丙基或者丁基。用于沉积含铪材料的铪前驱物包括(Et2N)4Hf、(Me2N)4Hf、(MeEtN)4Hf、(tBuC5H4)2HfCl2、(C5H5)2HfCl2、(EtC5H4)2HfCl2、(Me5C5)2HfCl2、(Me5C5)HfCl3、(iPrC5H4)2HfCl2、(iPrC5H4)HfCl3、(tBuC5H4)2HfMe2、(acac)4Hf、(hfac)4Hf、(tfac)4Hf、(thd)4Hf、(NO3)4Hf、(tBuO)4Hf、(iPrO)4Hf、(EtO)4Hf、(MeO)4Hf或者它们的衍生物。优选地,在沉积工艺期间所采用的铪前驱物包括HfCl4、(Et2N)4Hf或(Me2N)4Hf。
用于沉积含硅材料的典型硅前驱物包括硅烷、烷基氨基硅烷、硅烷醇或者烷氧基硅烷,例如硅前驱物可以包括(Me2N)4Si、(Me2N)3SiH、(Me2N)2SiH2、(Me2N)SiH3、(Et2N)4Si、(Et2N)3SiH、(MeEtN)4Si、(MeEtN)3SiH、Si(NCO)4、MeSi(NCO)3、SiH4、Si2H6、SiCl4、Si2Cl6、MeSiCl3、HSiCl3、Me2SiCl2、H2SiCl2、MeSi(OH)3、Me2Si(OH)2、(MeO)4Si、(EtO)4Si或者它们的衍生物。其他用作硅前驱物的烷基氨基硅烷化合物包括(RR’N)4-nSiHn,其中R或者R’独立地为氢、甲基、乙基、丙基或者丁基并且n=0~3。其他烷氧基硅烷可以通过通用化学分子式(RO)4-nSiLn表示,其中R=甲基、乙基、丙基或者丁基而L=H、OH、F、Cl、Br或者I及它们的混合物。此外,在本发明的某些实施方式中使用高级硅烷作为硅前驱物。在2003年10月17日提交的共同转让的美国专利申请No.10/688,797中公开了高级硅烷,该申请名称为“Silicon-containing Layer Deposition with Silicon Compounds”,其公开号为US20040224089,在此为了描述硅前驱物将该申请全部内容引入本文。优选地,在这里的沉积工艺中所采用的硅前驱物包括(Me2N)3SiH、(Et2N)3SiH、(Me2N)4Si、(Et2N)4Si或者SiH4
在一些实施方式中,在这里所述的工艺期间,可以将氮添加到含铪材料和其他沉积的介电材料中。在一个实施例中,可以对铪的氧化物材料进行氮化以形成铪的氮氧化物材料,以及可以对硅酸铪材料进行氮化以形成铪硅氮氧化物材料。在一个实施例中,硅酸铪膜为沉积的富硅物质并且在衬底/介电界面附近包含很少的氮或者不包含氮。随着薄膜厚度增加,为了增加介电常数,向薄膜中引入更多的铪。还可以向成批薄膜中添加氮以减小掺杂剂穿过薄膜进行的扩散。或者,为了提供稳定的覆盖层可以在薄膜顶部附近添加氮。
通过氮轰击(例如通过氮等离子体),在含氮环境中退火衬底,和/或在ALD周期中将氮前驱物包括到附加半反应中,也可以将氮添加到含铪材料和其他介电材料中。氮等离子体工艺可以包括在半反应后、在完成ALD周期时和/或完成含铪材料沉积时将衬底暴露在氮等离子体工艺中。例如,将氧化铪薄膜暴露在氮化远程等离子体中以形成铪氮氧化物或者将硅酸铪薄膜暴露在该等离子体中以形成铪硅氮氧化物薄膜。
在另一实施方式中,在诸如N2、NH3、N2H4、NO、N2O,氮原子或者它们的组合的含氮环境中使沉积在衬底上的含铪材料退火。将衬底加热到约800℃到1,100℃的温度范围持续约15秒到约10分钟。例如,在填充有NH3的腔室中,于900℃对含有硅酸铪薄膜的衬底加热退火1分钟以形成铪硅氮氧化物薄膜。
在另一实施方式中,在ALD工艺期间可以通过设置包含铪前驱物半反应、硅前驱物半反应、氮前驱物半反应和至少一氧化气体半反应的周期形成铪硅氮氧化物材料。在周期中氮前驱物半反应可以以相对于铪、硅和氧前驱物半反应的任意比例添加到ALD工艺中。在一实施例中,大约铪、硅和氧前驱物半反应的每两个ALD周期添加一次所述氮前驱物半反应。而且,为了控制在薄膜深度内结合的氮的比例可以改变周期比。在一实施方式中,ALD工艺可以形成铪硅氮氧化物分级薄膜,该薄膜顶部附近的氮浓度高于薄膜底部。通常,含有较高氮浓度的薄膜顶部约为该薄膜的20%或更少,优选为薄膜的10%或更少,并且更优选为5%或更少。如果省略硅前驱物半反应,则可以通过相似的ALD周期生长铪氮氧化物薄膜。优选地,该氧化气体含有由WVG系统形成的水蒸气。
典型的氮前驱物可以包括:NH3、N2、肼(例如,N2H4或者MeN2H3)、胺(例如Me3N、Me2NH或者MeNH2)、苯胺(例如C6H5NH2),有机叠氮化物(例如,MeN3或者Me3SiN3)、无机叠氮化物(例如NaN3或者Cp2CoN3)、氮自由基化合物(例如,N3、N2、N、NH或者NH2)、它们的衍生物或者它们的组合。可以通过加热、热线或者等离子体产生氮自由基化合物。
在替代的实施方式中,通过与产生于WVG系统的含有水蒸气的氧化气体一起顺序脉冲引入金属前驱物可以形成各种金属氧化物和金属硅酸盐。通过用其他金属前驱物替代铪和/或硅前驱物可以改变如上所公开的ALD工艺(例如工序100、200和300)以形成其他介电材料,如铝酸铪、硅酸钛、锆的氧化物、硅酸锆、铝酸锆、钽的氧化物、硅酸钽、钛的氧化物、硅酸钛、硅氧化物、铝的氧化物、硅酸铝、镧的氧化物、硅酸镧、铝酸镧、它们的氮化物、它们的衍生物或者它们的组合。在一实施方式中,可以同时执行两个或者多个ALD工艺以在一层顶部沉积另一层。例如,组合工艺包含形成第一介电材料的第一ALD工艺和形成第二介电材料的第二ALD工艺。该组合工艺可以用于制造多种含铪材料,例如硅酸铝铪或者铪铝硅氮氧化物。在一个实施例中,通过在衬底上沉积第一含铪材料并随后在衬底上沉积第二含铪材料来形成介电层叠材料。所述第一和第二含铪材料的组成可以改变,从而一层可以包含铪氧化物而另一层可以包含硅酸铪。在一个方面,所述底层含有硅。在这里所述的ALD工艺期间所采用的替代金属前驱物包括ZrCl4、Cp2Zr、(Me2N)4Zr、(Et2N)4Zr、TaF5、TaCl5、(tBuO)5Ta、(Me2N)5Ta、(Et2N)5Ta、(Me2N)3Ta(NtBu)、(Et2N)3Ta(NtBu)、TiCl4、TiI4、(iPrO)4Ti、(Me2N)4Ti、(Et2N)4Ti、AlCl3、Me3Al、Me2AlH、(AMD)3La、((Me3Si)(tBu)N)3La、((Me3Si)2N)3La、(tBu2N)3La、(iPr2N)3La、它们的衍生物或者它们的组合。
在这里通过多种实施方式所述的沉积工艺期间形成的介电材料产品存在许多工业应用。在微电子工业中,该产品材料可以用作高介电常数晶体管栅介电材料、晶体管栅界面工程、高介电常数电容介电材料(DRAM)、籽晶层、扩散阻挡层、粘附层、绝缘层和用于构图(例如,选择性沉积)表面的功能化表面基团。在微电子机械(MEMS)领域中,在所述工艺中形成的材料可以用作绝缘或者结构膜。
硬件
图6所示为工艺腔室610的示意性截面图,该工艺腔室610可以根据这里所述的实施方式用于执行集成电路制造。工艺腔室610通常包括用于支撑衬底(未示出)的衬底支撑底座648。衬底支撑底座648可以采用位移装置648A在工艺腔室610内部的垂直方向上是可移动的。
根据具体的工艺,在沉积前或者沉积期间可以将衬底加热到某一所需温度。例如,可以采用嵌入式加热元件652A加热衬底支撑底座648。通过从AC电源652向加热元件652A施加电流可以电阻地加热衬底支撑底座648。相应地,通过加热的衬底支撑底座648加热衬底(未示出)。可选择地,还可以采用诸如灯(未示出)的辐射加热器来加热衬底支撑底座648。
还可以将诸如热偶的温度传感器650A嵌入到衬底支撑底座648中以通过传统方式监控底座648的温度。测量得到的温度用于反馈环路中以控制用于加热元件652A的AC电源652,从而使得该衬底温度可以保持或者控制在适于具体工艺应用的所需温度。
真空泵618用于对工艺腔室610抽真空并且保持工艺腔室610内部的压力。工艺气体通过气体歧管634引入工艺腔室610,该气体歧管610位于衬底支撑底座648上方。气体歧管634与气路板(未示出)连接,该气路板控制并向工艺腔室610提供各种工艺气体。
通过质量流控制器(未示出)和微处理控制器670适当地控制和调节流过气体歧管634的气体。气体歧管634引入工艺气体并使工艺气体在工艺腔室610中均匀分布。此外,任选地,对气体歧管634进行加热以防止任何反应物气体在歧管内凝结。
气体歧管634包括多个电子控制阀(未示出)。这里所采用的电子控制阀是指任何可以向工艺腔室610快速且精确地提供气流的控制阀,所述控制阀开关阀的时间周期范围为约0.01秒到约10秒,优选为约0.1秒到约5秒,例如较长周期可以持续约3秒,而较短周期可以持续约0.5秒。
微处理控制器670可以是任意形式的通用计算机处理器(CPU)之一,所述CPU可以用在用于控制各种腔室和子处理器的工业装置中。该计算机可以采用任意适合的存储器,如随机访问存储器、只读存储器、软盘驱动、光盘驱动、硬盘或者任何其他形式的数字存储、本地或者远程存储。将用于支持该处理器的各种支持电路以传统方式与CPU耦接。如果需要的话,软件程序可以存储在存储器上或者可以通过远程源(例如,计算机或者服务器)执行软件程序。
执行该软件程序以启动工艺配方或者顺序。在执行过程中,该软件程序将通用计算机转换为控制腔室操作的专用计算机从而执行腔室工艺。例如,可以使用软件程序精确地控制电子控制阀的启动以执行根据本发明的工序。替代地,可以在硬件中执行该软件程序,作为专用集成电路或者其他类型的硬件实施或者软件和硬件的组合。
图7为工艺腔室680的一个实施方式的示意性截面图,该工艺腔室680包括用于诸如原子层沉积或者快速化学气相沉积的循环沉积的气体输送装置730。在2001年12月21日提交的共同转让的美国专利序列号10/032,284,其公开号为US20030079686且申请名称为“Gas Delivery Apparatus and Method for Atomic Layer Deposition”以及2002年10月25日提交的共同转让的美国专利序列号10/281,079,其公开号为US20030121608且名称为“GasDelivery Apparatus for Atomic Layer Deposition”,中描述了对于工艺腔室680的详细描述,二者在此引入作为参考。这里所用到的术语原子层沉积(ALD)、快速化学气相沉积和连续气相沉积是指连续引入反应物或者前驱物以在衬底结构上沉积薄层。可以重复连续引入反应物的步骤以形成多个薄层从而形成为具有所需厚度的共形层。在一些实施方式中,可以和另一种前驱物(例如,水蒸气)一起连续脉冲引入包含多于一种前驱物(例如铪前驱物和硅前驱物)。该工艺腔室680也可以适用于其他沉积技术。
工艺腔室680包含具有侧壁684和底686的腔室主体682。工艺腔室680中的狭缝阀688为机械手(未示出)提供入口以从工艺腔室680传递和撤回衬底690,该衬底690例如直径为200mm或者300mm的半导体晶片或者玻璃衬底。
在工艺腔室680中衬底支撑件692将衬底690支撑在衬底容纳表面691上。将该衬底支撑件692安装到升降电机714上以提升或者降低衬底支撑件692以及设置于衬底支撑件692上的衬底690。在工艺腔室680中安装连接在升降电机718上的升降板716并且该升降板716提升或者降低以可移动的方式贯穿衬底支撑件692设置的针720。针720提升或者降低位于衬底支撑件692表面上方的衬底690。衬底支撑件692可以包括用于在工艺过程中将衬底690固定到衬底支撑件692上的真空卡盘、静电卡盘或者卡环。
可以加热衬底支撑件692以提高设置于衬底支撑件692上的衬底690的温度。例如,可以采用诸如电阻加热器的嵌入式加热装置加热衬底支撑件692,或者可以采用诸如设置在衬底支撑件692上方的加热灯辐射加热该衬底支撑件692。可以在衬底支撑件692上设置清洗环722以限定向衬底690周边部分提供清洗气体的清洗沟道724从而防止沉积到衬底周边部分。
在腔室主体682上部设置气体输送装置730以向工艺腔室680提供诸如工艺气体和/或清洗气体的气体。真空系统778与抽吸通道779连接以从工艺腔室680中抽出任意所需的气体并帮助保持工艺腔室680的抽吸区域766内部的所需压力或者所需压力范围。
在一实施方式中,工艺气体和/或清洗气体通过气体输送装置730相对于衬底690平面的法线方向(例如90°)进入工艺腔室680。因此,衬底690的表面以对称形式暴露在可以在衬底上形成均匀薄膜的气体中。该工艺气体可以包括位于一个脉冲中的含铪化合物(例如,TDEAH或者HfCl4)以及位于另一脉冲的氧化气体(例如,产生于WVG系统的水蒸气)。
图7所示的工艺腔室680可以比图6所示的腔室610产生更均匀的薄膜。此外,和工艺腔室610相比,由于工艺腔室680通常会花更少的时间进行清洗以及用前驱物使衬底饱和,工艺腔室680采用了更小的循环时间。因此,工艺腔室610和680可以引入含铪化合物约20秒或者更少,优选地,工艺腔室680可以引入含铪化合物约10秒或者更少,更优选为5秒或者更少,例如,约3秒或者约0.5秒。
在一实施方式中,气体输送装置730包括腔室盖732。腔室盖732包括从腔室盖732的中心部分延伸出来的扩张式通道734和从扩张式通道734向腔室盖732的周边部分延伸的下表面760。下表面760的尺寸和形状使它基本覆盖设置在衬底支撑件692上的衬底690。腔室盖732在与衬底690的外围部分相邻的腔室盖732的外围部分处可以具有气塞762。盖部分772包括一部分扩张式通道734和进气口736A和736B。扩张式通道734具有进气口736A和736B以从两个类似的阀门742A、742B提供气流。该气体可以通过阀门742A、742B一起和/或单独通过阀门742A、742B提供。
在一实施方式中,腔室盖732由金属材料制成,如不锈钢(例如,任选地含有镍的铁铬合金)、铝、它们的衍生物、它们的合金或者它们的组合。在替代的实施方式中,腔室盖732包含绝热材料,如熔融石英、蓝宝石、热解氮化硼(PBN)材料、陶瓷、它们的衍生物或者它们的组合。在一个实施例中,向腔室盖732添加绝热衬垫来覆盖扩张式通道734的主要部分和下表面760(未示出)。优选地,可以将扩张式通道734和下表面760机械加工为由绝热材料构成的腔室盖732。在工艺腔室680中可以添加由同样或者类似的绝热材料构成的附加衬垫。在一个实施例中,狭缝阀门688包含衬垫687,侧壁684含有衬垫683并且底表面685含有衬垫689。
在一种结构中,阀门742A和阀门742B与独立的反应物气体源耦合但是优选地与同一清洗气体源耦合。例如,阀门742A与反应物气体源738耦合而阀门742B与反应物气体源739耦合,并且两个阀门742A、742B同时与清洗气体源740耦合。阀门742A、742B各包括具有阀座组件744A、744B的输送管743A、743B并包括具有与阀门752A、752B流体连通的阀座组件746A、746B的清洗管路745A、745B。输送管743A、743B与反应物气体源738和739流体连通并且与扩张式通道734的进气口736A和736B流体连通。在替代实施方式(未示出)中还可以将其他反应物气体源、输送管、进气口和阀门添加到气体输送装置730中。输送管743A、743B的阀座组件744A、744B控制从反应物气体源738和739流向扩张式通道734的反应物气体流。清洗管路745A、745B与清洗气体源740流体连通并与输送管743A、743B的阀座组件744A、744B的输送管743A、743B下游相交。清洗管路的745A、745B的阀座组件746A、746B控制从清洗气体源740流向输送管743A、743B的清洗气流。如果载气用于传送来自反应物气体源738和739的反应物气体,则该同一气体可以用作载气和清洗气体(例如,用作载气和清洗气体的氮气)。
每个阀座组件744A、744B、746A、746B可以包括膜片和阀座。该膜片可以因受承载而打开或关闭并且可以分别地因受到控制而关闭或打开。该膜片可以为气动控制或者可以为电动控制。气动控制阀门的实施方式包括可以从Fujikin和Veriflow购买到的气动控制阀门。电动控制阀门的实施方式包括可以从Fujikin购买到的电动控制阀门。可编程逻辑控制器748A、748B与阀门742A、742B耦合以控制阀门742A、742B的阀座组件744A、744B、746A、746B膜片的动作。气动控制阀门可以提供时间周期低至约0.02秒的的气体脉冲。电动控制阀门可以提供时间周期低至约0.005秒的气体脉冲。通常气动和电动控制阀门可以提供时间周期在高至约3秒的气体脉冲。尽管还可以存在更高时间周期的气体脉冲,但是典型的ALD工艺采用ALD阀门,以产生气体脉冲同时开启的时间间隔为约5秒或者更少,优选约3秒或者更少,更优约2秒或者更少。在一实施方式中,ALD阀门脉冲的间隔范围为约0.005秒到约3秒,优选为约0.02秒到约2秒并且更优的情况为0.05秒到1秒。电动控制阀门通常需要采用耦合在阀门和可编程逻辑控制器之间的驱动器。
每个阀门742A、742B为零死容积阀门从而当阀门的阀座组件744A、744B关闭时使能来自输送管743A、743B的反应物气体的冲洗。例如,清洗管路745A、745B设置在与输送管743A、743B的阀座组件744A、744B相邻。当阀座组件744A、744B关闭时,清洗管路745A、745B可以提供清洗气体以冲洗输送管743A、743B。在一实施方式中,为了降低或者防止前驱物凝结,在管路中通入加热的清洗气体(例如,约50℃到约200℃)以加热阀座组件744A、744B以及输送管743A、743B。在所示的实施方式中,清洗管路745A、745B设置为与输送管743A、743B的阀座组件744A、744B稍微分开使得在阀座组件744A、744B打开时清洗气体不会直接输送到阀座组件744A、744B中。将这里所用到的零死容积阀门定义为具有可忽略的死容积的阀门(即,并非必须为零死容积)。
每个阀门742A、742B均可适于提供反应物气体739、739以及清洗气体740的组合气流和/或独立气流。参考阀门742A,由阀门742A提供的反应物气体738和清洗气体740的组合气流的实施方式包括来自清洗气体源740经过清洗管路745A的连续清洗气流和从反应物气体源738经过输送管743A的反应物气体脉冲。通过打开清洗管路745A的阀座组件746A的膜片可以提供连续清洗气流。通过打开和关闭输送管743A的阀座744A的膜片可以提供来自反应物气体源738的反应物气体脉冲。参照阀门742A,通过阀门742A提供的反应物气体738和清洗气体740的分离气流的实施方式包括来自清洗气体源740经过清洗管路745A的清洗气体脉冲和来自反应物气体源738经过输送管743A的反应物气体脉冲。通过打开和关闭清洗管路745A的阀座组件746A的膜片可以提供清洗气体脉冲。通过打开和关闭输送管743A的阀座744A的膜片可以提供来自反应物气体源738的反应物气体脉冲。
阀门742A、742B的输送管743A、743B可以经过气体导管750A、750B与进气口736A和736B连接。气体导管750A、750B可以与阀门742A、742B为一体地形成或者分立地形成。在一个方面,阀门742A、742B与扩张式通道734靠近耦合以减少位于阀门742A、742B和进气口736A和736B之间的输送管743A、743B和气体导管750A、750B的不必要容积。
在图7中,扩张式通道734包括具有一内径的通道,该内径从上部到临近腔室盖732的下表面760的下部逐渐增加。在具体实施方式中,用于处理200mm直径衬底的腔室扩张式通道734的上部737内径为约0.2英寸(0.51cm)到约1.0英寸(2.54cm)之间,优选为约0.3英寸(0.76cm)到约0.9英寸(2.29cm)之间并且更优的情况为约0.3英寸(0.76cm)到约0.5英寸(1.27cm)之间,而位于扩张式通道734的下部735的内径为约0.5英寸(1.27cm)到约3.0英寸(7.62cm)之间,优选为约0.75英寸(1.91cm)到约2.5英寸(6.35cm)之间并且更优的情况为约1.1英寸(2.79cm)到约2.0英寸(5.08cm)之间。
在另一具体实施方式中,用于处理300mm直径衬底的腔室扩张式通道734的上部737内径为约0.2英寸(0.51cm)到约1.0英寸(2.54cm)之间,优选为约0.3英寸(0.76cm)到约0.9英寸(2.29cm)之间并且更优选约0.3英寸(0.76cm)到约0.5英寸(1.27cm)之间,而位于扩张式通道734的下部735的内径为约0.5英寸(1.27cm)到约3.0英寸(7.62cm)之间,优选为约0.75英寸(1.91em)到约2.5英寸(6.35cm)之间并且更优选约1.2英寸(3.05cm)到约2.2英寸(5.59cm)之间。通常,对扩张式通道应用上述尺寸可以使它提供约500sccm到约3,000sccm范围的总气体流速。
在另一具体实施方式中,可以改变所述尺寸以调整流过所述通道中的气体流速。通常,较大的气流需要较大直径的扩张式通道。在一实施方式中,可以将该扩张式通道形成为截锥形状(包括类似截锥的形状)。不管气体是流向扩张式通道734的侧壁或者直接流向衬底,由于气体的膨胀使得气体流速会随着气流经过扩张式通道734而降低。气体流速的降低有助于降低气流吹掉衬底690表面吸附的反应物的可能性。
不希望束缚于理论,通常认为从扩张式通道734的上部737到下部735逐渐增加的直径可以减少气体经过扩张式通道734产生的绝热膨胀,这有助于控制气体温度。例如,气体流经进气口736A和736B进入扩张式通道734时发生突然的绝热膨胀会导致气体温度降低,这会造成前驱物蒸汽凝结并形成颗粒。另一方面,通常认为根据本发明的实施方式的渐变扩展通道734会产生较少的气体绝热膨胀。因此,在气体来回输送过程中可以传输更多的热量,因此,通过控制气体的环境温度(即,控制腔室盖732的温度)可以更容易地控制气体温度。该渐变扩张式通道734可以包括一个或者多个锥形内表面,如锥形直表面、凹表面、凸表面或者它们的组合或者可以包括一个或者多个锥形内表面部分(即,锥形部分和非锥形部分)。
在一实施方式中,在临近扩张式通道734的上部737的位置设置进气口736A和736B。在其他实施方式中,可以沿扩张式通道734的长度方向在上部737和下部735之间设置一个或者多个进气口736A和736B。不希望束缚于理论,从进气口736A和736B流入并经过腔室盖732的扩张式通道734的气体形成环形流。尽管不清楚经过扩张式通道734的确切流模式,但是通常认为该环形流可以以诸如涡流、螺旋流(helix flow)、旋流(spiral flow)、或者它们的衍生形状的流模式经过扩张式通道734。环形流可以提供在位于下部735和衬底容纳表面691之间的处理区域中,而不在与衬底690分离开的间隔部分中。在一个方面,由于环形流的扫除操作流过扩张式通道734的内表面因此涡流有助于对于扩张式通道734进行更有效清洗。此外,该环形气流还对衬底690的整个表面提供恒定且共形的气体分配。
在图7中,可以将诸如编程的个人计算机、工作站计算机等的控制单元780与工艺腔室680相连以控制工艺条件。例如,可以配置控制单元780使所述控制单元780在不同的衬底处理工序期间控制来自气体源738、739经过阀门742A、742B的各种工艺气体和清洗气体的气流。作为示例,控制单元780包括中央处理单元(CPU)782、支持电路784和含有相关控制软件783的存储器786。控制单元780还配置为控制WVG系统286和/或调节安瓿282。
控制单元780可以是能够在用于控制各种腔室和子处理器的工业装置中使用的任意形式的通用计算机处理器之一。CPU782可以采用任意适用的存储器786,如随机存储器、只读存储器、软盘、光盘、硬盘或者任何其他形式的本地或者远程的数字存储器。用于支持工艺腔室680的各种支持电路可以与CPU782耦合。控制单元780可以和临近其他独立腔室元件的另一控制器耦合,如阀门742A、742B的可编程逻辑控制器748A和748B。如图7所示,通过总称为信号总线788的多个信号电缆操作位于控制单元780和工艺腔室780的各种其他元件之间的双向通信。除了控制来自气体源738、739的工艺气体和清洗气体以及阀门742A、742B的可编程逻辑控制器748A和748B以外,还可以配置该控制单元780使所述控制单元780负责晶片处理的其他活动的自动控制,如晶片传输、温度控制、腔室抽真空、以及其他活动,其中部分内容在其他地方进行了描述。
在另一实施方式中,工艺腔室680可以适于通过连接到三个或更多个气体导管的三个或者更多个进气口对三个或者更多的气流进行共同、部分共同(例如,三个气流中的两种)或者分别接收。每个导管均与单个或者多个阀门耦合。在2001年12月21日提交的共同转让的美国专利序列号10/032,284中公开了适于流入三个或者更多个气流的工艺腔室680,其公开号为US20030079686且申请名称为“Gas Delivery Apparatus and Method for AtomicLayer Deposition”,在此将该专利引入本文。在一实施方式中,所述三个气流可以包含铪前驱物、硅前驱物和氧化气体,这里第一气流包括TDEAH、TDMAH或者HfCl4,第二气流包括TDMAS、Tris-DMAS或者硅烷并且第三气流包括来自WVG系统的含有水蒸气的氧化气体。
图8所示为根据所述实施方式用于执行集成电路制造的工艺腔室810的示意性截面图。工艺腔室810在功能上和工艺腔室680相似并且包含可以在高温下(例如,<800℃)工作的绝热材料。该工艺腔室810包含由绝热材料构成的衬垫,该绝热材料例如熔融石英、蓝宝石、热解氮化硼(PBN)材料、陶瓷、它们的衍生物或者它们的组合。在一实施方式中,工艺腔室680中的气体输送装置730也适用于工艺腔室810。
工艺腔室810通常包括用于支撑衬底802的衬底支撑底座812。衬底支撑底座812在工艺腔室810内部是可以旋转以及竖直移动的。衬底支撑底座812可以包含加热元件以控制所述衬底支撑底座812上衬底802的温度。在工艺腔室810的盖832上设置盖部分872并该盖部分872包含进气口836a、836b、836c和836d。盖部分872还可以包含在等离子体工艺期间中使用的微波装置或者远程等离子体装置的适配器874,等离子体工艺例如PE-ALD工艺、预清洗工艺或者氮化工艺。替代地,盖部分872可以不包括适配器874。
气体输送系统811通过盖部分872与工艺腔室810连接。气体输送系统811包含进气口836、导管系统841、阀843和/或阀845以及源842和/或源844中至少其一以及多至十个部件组。如图8所示,气体输送系统811包含由进气口836a、836b、836c、836d、导管系统841a、841b、841c、841d、阀门843a、843b、843c、843d、阀门845a、845b、845c、845d、源842a、842b、842c、842d和源844a、844b、844c、844d构成的四个部件组。
在替代的实施方式中,导管系统841还包括在一端形成多个气嘴的逐渐扩展气体导管,设置该导管与进气口836a、836b、836c、836d流体连接。在2005年4月29日提交的共同转让的美国专利申请序列号11/119,388中进一步描述了在此所述某些实施方式中采用的气嘴和端部,该申请名称为“Control of Gas Flow and Delivery to Suppress the Formation ofParticles in an MOCVD/ALD System”,在此引入该申请全部内容以支持逐渐扩展气体导管的公开内容。该气体导管的几何形状通过使气体以逐渐扩展的方式经过逐渐增大的锥形流通道以防止温度下降过大。在一实施方式中,该流通道从约3mm到约15mm的直径范围经过约30mm到约100mm的距离过渡到进气口处约10mm到约20mm的较大直径范围。流通道直径逐渐增加使得以保持均衡的方式扩散气体并防止迅速损失热量从而基本保持恒定温度。扩张式气体导管可以包括一个或者多个锥形内表面,诸如锥形直表面、凸表面、凹表面、它们的衍生形状或者它们的组合或者可以包括一个或者多个锥形内表面段(例如,锥形部分和非锥形部分)。
导管系统841包含一个或者几个导管以及和进气口836、阀门843和845和源842和844连接的管。阀门843控制从源842至进气口836的前驱物或者气体的引入并且阀门845控制从源844至进气口836的前驱物或者气体的引入。阀门843和845可以包括阀门以及包含膜片和阀座的阀座组件。气动控制阀门可以提供低至约0.020秒的时间周期的气体脉冲。电动控制阀门可以提供低至约0.005秒的时间周期的气体脉冲。通常,气动和电动控制阀门可以提供高至约3秒的时间周期的气体脉冲。尽管还可以存在更高时间周期的气体脉冲,但是典型的ALD工艺采用ALD阀门,该ALD阀门产生气体脉冲同时开启的时间间隔为约5秒或者更少,优选约3秒或者更少,更优选约2秒或者更少。在一实施方式中,ALD阀门脉冲的间隔范围为约0.005秒到约3秒,优选约0.02秒到约2秒并且更优选约0.05秒到约1秒。电动控制阀门通常需要采用耦合在阀门和可编程逻辑控制器之间的驱动器。可以将诸如编程的个人计算机、工作站计算机等的控制单元(未示出)包含在工艺腔室810中以控制这里所述的工艺条件,所述工艺腔室810包括阀门843、845和源842、844和真空系统833、衬底支撑件812、WVG系统286和安瓿282。
源842、844在沉积工艺期间可以提供所用到的前驱物源、清洗气体源和/或载气源。前驱物源可以包括不止一种化学前驱物(例如,铪前驱物和硅前驱物)并且可以包括载气。前驱物源包括安瓿瓶、球状物、罐、箱或者筒状物。此外,前驱物源还包括与这里所述的气体输送系统811流体连接的水蒸气产生系统(WVG)。通常为罐、箱、筒状物或者内置导管连接的供应系统的清洗气体源和/或载气源可以向气体输送系统811提供氮气、氩气、氦气、氢氮混合气或者所述气体的组合。
在盖部分872内部沿扩张式通道834的纵向方向设置进气口836a、836b、836c、836d。不希望束缚于理论,从进气口836a、836b、836c、836d流入并经过扩张式通道834的气体形成环形流。尽管不知道经过扩张式通道834的确切流模式,但是通常认为该环形流可以以诸如涡流、螺旋流、旋流、或者它们衍生的流模式经过扩张式通道834。环形流提供在位于漏斗状衬垫820和衬底支撑件812之间的处理区域中,而不在与衬底802分离的间隔部分。在一个方面,由于环形流在整个扩张式通道834的内表而的扫除操作因此涡流有助于更有效地清洗扩张式通道834。此外,该环形气流还对衬底802的整个表面提供恒定且共形的气体输送。
图8和图9A-9B示出了绝热衬垫的示意图,该绝热衬垫可用在本文所述的沉积工艺中的工艺腔室810和其他工艺腔室内。扩张式导管834可形成在盖部分872内和漏斗状衬垫之间。绝热体870设置在盖部分872周围。漏斗状衬垫820可通过用漏斗状衬垫820的突出部分表面818对齐扣环衬垫819的突出部分表面817由扣环衬垫819固定在正对盖832下侧。扣环衬垫819和由诸如配件、螺栓、螺钉或钉的紧固件837连接在盖832的下侧。在一实例中,紧固件837为插入并设置在扣环衬垫819的凹槽816中的配合。漏斗状衬垫820也可包括宽松安装的几个钉838用来在处于加热工艺时给漏斗状衬垫820提供热膨胀的空间。在一个实施方式中,在热膨胀后漏斗状衬垫820与衬底802对齐并居中。替代地,漏斗状衬垫820和扣环衬垫819可作为单件形成。
工艺腔室810还可包括顶部工艺衬垫822和底部工艺衬垫824。底部工艺衬垫824设置在底面827上以及顶部工艺衬垫822设置在底部工艺衬垫824和沿着腔室主体802的壁面830上。滑动阀门衬垫826设置为从顶部工艺衬垫822的突出并且工艺区815内。包括漏斗状衬垫820、扣环衬垫819、顶部工艺衬垫822、底部工艺衬垫824和滑动阀门衬垫826的衬垫为绝热材料,如熔融石英、蓝宝石、PBN材料、陶瓷及它们的衍生物或其组合。一般地,该衬垫为应力减小的以防止在本文所述的沉积工艺的启动和冷却循环期间热循环失效。该衬垫能耐约800℃或更高的温度,优选地约1,000℃或更高,更优选地约1,200℃或更高的温度。另外,该衬垫用火焰抛光以获得约为2微英寸(约0.051μm)或更小的表面光洁度。该抛光后的光洁度提供光滑的表面从而以较小或没有湍流的情况输送工艺反应物,同时在该衬垫上使成核位置最小,该成核位置可能不必要地促使薄膜在该成核位置上生长。此外,火焰抛光除去表面缺陷(例如:纹孔和裂缝)使热应力引起的裂缝的成核最小。
清洗管829为腔室背面清洗管,该背面清洗管设置在从腔室主体803到腔室盖832和漏斗状衬垫820处。清洗管829用于允许在壁面830和顶部/底部工艺衬垫822和824之间的清洗气流进入工艺区815中。清洗气体源可通过入口804与清洗管829连接。通过清洗管826流过的清洗气体保护壁面830免受可能逸出工艺区815的污染物和过多的热量的影响。污染物包括可能经过顶部/底部工艺衬垫822和824沉积在壁面830上的前驱物或反应产物。此外,来自工艺区815的热量可从顶部/底部工艺衬垫822和824逸出并被吸收进工艺主体803中。然而,流经清洗管826的清洗气流将污染物和热量传送回工艺区815。热节流板809设置在腔室主体803的外部以防止热量从工艺区815损失。
图9B示出了顶部工艺衬垫822、底部工艺衬垫824和滑动阀门衬垫826的示意图。顶部工艺衬垫822和底部工艺衬垫824可包括起模顶针孔821和823以在衬底802的移动期间接收衬底起模顶针(未示出)。顶部工艺衬垫822的底部工艺衬垫824设置在工艺腔室内以使起模顶针孔821与起模顶针孔823对齐。顶部工艺衬垫822还包括接收排气接口831的真空端口835和接收滑动阀门衬垫826的狭缝阀门端口825。排气接口831设置为通过工艺腔室803和真空端口835,从而工艺区815流体连通真空系统833。衬底通过滑动阀门衬垫826以进入和离开工艺腔室810。滑动阀门衬垫826也可从热节流板809突出。
抽送功率可通过使用节流槽840控制。节流槽840为在漏斗状衬垫820的底部边缘和衬底支撑基座812的顶部之间形成的空间。节流槽840为环状槽,该节流槽可根据工艺条件和所需的抽送功率改变。节流槽840通过降低衬底支撑基座810增大或通过提升衬底支撑基座812减小。从工艺腔室810的底部中的抽送端口(未示出)到扩张式导管834中心的抽送传导通过改变节流槽840的距离改变从而控制本文所述的沉积工艺期间的薄膜厚度和均匀性。
图10示出了可用在本文所述的ALD工艺腔室上的工艺腔室盖组件1050的示意图。在一实例中,盖组件1050可取代工艺腔室810上的盖832和气体输送系统811。在另一实例中,盖组件1050可取代工艺腔室680上的盖732和气体输送装置730。盖组件1050包括设置在盖1030上的阀门歧管支架1030。绝热体1002a和1002b使阀门歧管支架1030与盖1032和在此散失的任何热量隔离。管1020和1022从盖1032穿过提供从外部源或装置到工艺腔内的流体连通。阀门歧管支架1030包括适配器1074、阀门1043a、1043b、1043c和1043d以及阀门1045a、1045b、1045c和1045d。适配器1074支持用在等离子体工艺诸如PE-ALD工艺、预清洁工艺或氮化工艺中的微波设备或远程等离子体设备。阀门1043a、1043b、1043c和1043d与阀门1045a、1045b、1045c和1045d通过阀门歧管支架1030内的导管系统(未示出)连接。前驱物源、清洗气体源和/或载气源在沉积工艺期间通过盖组件流体连通工艺腔室。在一实例中,盖组件1050与类似于气体输送系统811内导管系统841的导管系统导管连接。
此处使用的“衬底表面”,指在其上实施薄膜工艺的衬底或衬底上形成的材料表面。例如,根据应用,其上可实施工艺的衬底表面包括诸如硅、硅的氧化物、应变硅、绝缘体上硅(SOI)、碳掺杂硅氧化物、硅的氮化物、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料,和诸如金属、金属氮化物、金属合金和其他导电材料的其他任何材料。衬底表面上的阻挡层、金属或金属氮化物包括钛、钛氮化物、钨氮化钨、物、钽和钽氮化物。衬底可以具有不同尺寸,诸如200mm或300mm直径晶片以及矩形或正方形平面。此处所述的实施方式工艺在许多衬底和表面上沉积含铪的材料。本发明的实施方式可使用的衬底包括,但不限于半导体晶片,如晶体硅(例如Si<100>或Si<111>、硅氧化物、应变硅、硅锗化物、掺杂或未掺杂的多晶硅、掺杂或未掺杂的晶片和构图的或未构图的晶片。衬底可暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟化、退火和或烘焙该衬底表面。
此处使用的“原子层沉积”或“循环沉积”指两个或多个反应化合物的依次引入以在衬底表面沉积一层材料。该两种、三种或更多的反应化合物可交替地引入工艺腔室的反应区中。一般地,每个反应性化合物通过延时分开以允许每个化合物在该衬底表面吸附和/或反应。一方面,第一前驱物或化合物A脉冲进入反应区接着第一延时。接着,第二前驱物或化合物B脉冲进入反应区接着第二延时。每个延时期间,清洗气体诸如氮气进入工艺腔室清洗反应区或从反应区以其他方式除去任何残留的反应化合物或副产品。替代地,该清洗气体可在整个沉积工艺中连续地流动从而在反应化合物的脉冲之间的延时期间只有清洗气体流动。该反应化合物交替地脉冲直到在衬底表面上形成所需的薄膜或薄膜厚度。或者一种情况,脉冲化合物A、清洗气体、脉冲化合物B和清洗气体的ALD工艺为一个循环。循环可用化合物A或化合物B开始接着继续循环的每个指令直到获得所需的厚度的薄膜。在另一实施方式中,含有化合物A的第一前驱物,含有化合物B的第二前驱物和含有化合物C的第三前驱物每个独立地脉冲进入工艺腔室。替代地,第一前驱物脉冲可在时间上与第二前驱物脉冲重叠,而第三前驱物脉冲在时间上不与第一和第二前驱物脉冲其中之一重叠。
实施例
在实施例1-10期间,ALD工艺维持在约70℃到1,000℃的温度范围,优选约100℃到650℃,例如约350℃。ALD工艺可以在工艺腔室压力为约0.1Torr到约100Torr的范围中进行,优选约1Torr到约10Torr。载气(例如N2)可具有约2slm到22slm的流速,优选约10slm。含有水蒸气的氧化气体由含有金属催化剂的水蒸气发生器(WVG)系统产生,该系统可购自位于California,Santa Clara的Fujikin of America,Inc。该WVG系统从氢源气体和氧源气体形成氧化气体。在预处理工艺期间将衬底暴露在来自WVG系统的含有水蒸气的氧化气体中。该预处理工艺进行的时间范围约从5秒到约30秒。沉积材料形成为约
Figure GSB00000611537100261
到约范围的厚度,优选约到约并且更优选约
实施例1-在ALD工艺期间,通过顺续脉冲铪前驱物以及由WVG系统产生的氧化气体来形成铪氧化物薄膜。将衬底表面暴露在预处理工艺中以在该衬底表面上形成羟基基团。在约150℃到约200℃的温度范围将铪前驱物-HfCl4在前驱物安瓿内加热。以约400sccm的流速将氮气载气导入含有铪前驱物的前驱物安瓿内。铪前驱物饱和载气并且提供到腔室内约3秒。将氮气的清洗气体提供到腔室中约2.5秒以除去任何未结合的铪前驱物。将氢气和氧气分别以流速约100sccm和约120sccm供给WVG系统。来自WVG系统的氧化气体含有速率约为100sccm的水和速率约为70sccm的氧气。将该氧化气体提供给腔室约1.7秒。氮气的清洗气体提供给腔室约2.5秒以除去任何未结合的或未反应的反应物,如副产物、铪前驱物、氧气和/或水或诸如HCl的任何副产物。每个ALD循环形成约
Figure GSB00000611537100267
的铪氧化物薄膜。
实施例2-在ALD工艺期间,通过顺续脉冲铪前驱物以及氧化气体来形成铪氧化物薄膜。将衬底表面暴露在预处理工艺中以在该衬底表面上形成羟基基团。在约150℃到约200℃的温度范围将铪前驱物-HfCl4在前驱物安瓿内加热。将氮气载气以约400sccm的流速导入含有铪前驱物的前驱物安瓿内。铪前驱物饱和载气并提供给腔室约0.5秒。将氮气的清洗气体提供给腔室约0.5秒以除去任何未结合的铪前驱物。将氢源气体和氧化气体分别以流速50sccm和约60sccm供给WVG系统。来自WVG系统的氧化气体含有流速约为50sccm的水和流速约为35sccm的氧气。将氧化气体提供给腔室约0.5秒。将氮气的清洗气体提供腔室约0.5秒以除去任何未结合或未反应的反应物,如铪前驱物、氧气和或水或诸如HCl的任何副产物。每个ALD循环形成约的铪氧化物薄膜。
实施例3-在ALD工艺期间,通过顺续脉冲铪前驱物及氧化气体、接着脉冲硅前驱物及氧化气体来形成硅酸铪薄膜。将衬底表面暴露在预处理工艺中以在该衬底表面上形成羟基基团。在室温下(约23℃)将铪前驱物-TDEAH和硅前驱物-TDMAS在前驱物安瓿内加热。在约110℃到约130℃时使这些前驱物分别在蒸发器中蒸发并分别与不活泼的载气混合。铪前驱物饱和载气并提供给腔室约1秒钟。将氮气的清洗气体提供给腔室约1秒以除去任何未结合的铪前驱物。将氢气和氧气分别以流速约100sccm和约120sccm供给WVG系统。来自WVG系统的氧化气体含有流速约为100sccm的水和含有流速约为70sccm的氧气。将氧化气体供给腔室约1.7秒。将氮气的清洗气体提供给腔室约5秒以除去任何未结合或未反应的反应物,如铪前驱物、氧气和/或水或副产物。将硅前驱物供给腔室约1秒。将氮气的清洗气体供给腔室约1秒以除去任何未结合的前驱物或污染物。将氧化气体供给腔室约1.7秒。将氮气的清洗气体供给腔室约5秒。每个ALD循环形成约
Figure GSB00000611537100272
的硅酸铪薄膜。
实施例4-在工艺期间,通过顺续脉冲铪前驱物及氧化气体接着脉冲硅前驱物及氧化气体来形成硅酸铪薄膜。将衬底表面暴露在预处理工艺中以在该衬底表面上形成羟基基团。在室温(约23℃)下将铪前驱物-HfCl4和硅前驱物-Tris-DMAS在分别的前驱物安瓿内加热。在约110℃到约130℃时这些前驱物在蒸发器中单独蒸发并单独与不活泼的载气混合。铪前驱物饱和载气并供给腔室约1秒钟。将氮气的清洗气体供给腔室约1秒。将氢气和氧气分别以流速约100sccm和约120sccm供给WVG系统。来自WVG系统的氧化气体含有流速约为100sccm的水和流速约为70sccm的氧气。将氧化气体供给腔室约1.7秒。将氮气的清洗气体供给腔室约1秒以除去任何未结合或未反应的反应物,如铪前驱物、氧气和/或水。将硅前驱物提供给腔室约1秒。将氮气的清洗气体供给腔室约1秒以除去任何未结合的前驱物或污染物。将氧化气体供给腔室约1.7秒。将氮气的清洗气体供给腔室的前驱物约5秒。每个ALD循环形成约
Figure GSB00000611537100273
的硅酸铪薄膜。
实施例5-在ALD工艺期间,通过同时脉冲铪前驱物和硅前驱物随后脉冲氧化气体来形成硅酸铪薄膜。将衬底表面暴露在硅前驱物中以在该衬底表面上形成羟基基团。在室温下(约23℃)将铪前驱物-TDEAH和硅前驱物-TDMAS在分别的前驱物安瓿内加热。这些前驱物在约110℃到约130℃在蒸发器中分别蒸发并分别与不活泼的载气混合。将该铪前驱物和硅前驱物各同时供给腔室约1秒。将氮气的清洗气体供给腔室约1秒以除去任何未结合的铪或硅前驱物。将氢气和氧气分别以流速约100sccm和约120sccm供给WVG系统。来自WVG系统的氧化气体含有流速约100sccm的水和流速约70sccm的氧气。将氧化气体供给腔室约1.7秒。将氮气的清洗气体供给腔室约5秒以除去任何未结合或未反应的反应物,如副产物、铪前驱物、硅前驱物、氧气和/或水。每个ALD循环形成约的硅酸铪薄膜。
实施例6-在ALD工艺期间,通过同时脉冲铪前驱物和硅前驱物随后脉冲氧化气体来形成硅酸铪薄膜。将衬底表面暴露在硅前驱物中以在该衬底表面上形成羟基基团。在室温下(约23℃)将铪前驱物-HfCl4和硅前驱物-Tris-DMAS在分别的前驱物安瓿内加热。这些前驱物在约110℃到约130℃在蒸发器中分别蒸发并分别与不活泼的载气混合。将铪前驱物和硅前驱物各同时供给腔室约1秒。将氮气的清洗气体供给腔室约1秒以除去任何未结合的铪或硅前驱物。将氢气和氧气分别以流速约100sccm和约120sccm供给WVG系统。来自WVG系统的氧化气体含有流速约100sccm的水和流速约70sccm的氧气。将氧化气体供给腔室约1.7秒。将氮气的清洗气体供给腔室约5秒以除去任何未结合或未反应的反应物,如副产物、铪前驱物、硅前驱物、氧气和/或水。每个ALD循环形成约的硅酸铪薄膜。
实施例7-在ALD工艺中,通过连续脉冲铪前驱物以及从WVG系统形成的原位水蒸气来形成铪氧化物薄膜。将衬底表面暴露在预处理工艺中以在该衬底表面上形成羟基基团。在从150℃到约200℃将铪前驱物-HfCl4在前驱物安瓿内加热。将氮气载气以流速约为400sccm导入到含有铪前驱物的前驱物安瓿内。该铪前驱物饱和该载气并提供给腔室中约1.5秒。将氮气的清洗气体供给腔室中约2.5秒以除去任何未结合的铪前驱物。将各具有约100sccm流速的氢氮混合气(5vol%的H2和N2平衡)和氧气供给WVG系统。来自WVG系统的氧化气体含有流速约为2.5sccm的水和流速约为98sccm的氧气。该氧化气体为进入该腔室中约1.7秒的氧化气体。将氮气的清洗气体供给腔室约2.5秒以除去任何未结合或未反应的反应物,如副产物、铪前驱物、氧气和/或水。
实施例8-在ALD工艺期间,通过连续脉冲铪前驱物及氧化气体,接着脉冲硅前驱物及氧化气体来形成硅酸铪薄膜。将衬底表面暴露在预处理工艺中以在该衬底表面上形成羟基基团。在室温(约23℃)将铪前驱物-TDEAH和硅前驱物-TDMAS在分别的前驱物安瓿内加热。这些前驱物在约110℃到130℃分别在蒸发器中蒸发并分别用不活泼的载气混合。该铪前驱物饱和该载气并提供给腔室约1秒。将氮气的清洗气体提供给腔室约1秒以除去任何未结合的铪前驱物。将各具有流速约100sccm的氢氮混合气(5vol%的H2和N2平衡)和氧气供给WVG系统。来自WVG系统的氧化气体含有流速约为2.5sccm的水和流速约为98sccm的氧气。将氧化气体供给腔室约1.7秒。将氮气的清洗气体供给腔室约5秒以除去任何未结合或未反应的反应物,如铪前驱物、氧气和/或水或副产物。将硅前驱物提供给腔室约1秒。将氮气的清洗气体供给腔室约1秒以除去任何未结合的前驱物或污染物。将氧化气体提供给腔室约1.7秒。将氮气的清洗气体提供给腔室约5秒。每个ALD循环形成约
Figure GSB00000611537100282
的硅酸铪薄膜。
实施例9-在ALD工艺期间,通过同时脉冲铪前驱物和硅前驱物随后脉冲氧化气体来形成硅酸铪薄膜。将衬底表面暴露在预处理工艺中以在该衬底表面上形成羟基基团。在室温(约23℃)将铪前驱物-TDEAH和硅前驱物-TDMAS在分别的前驱物安瓿内加热。这些前驱物在约110℃到约130℃分别在蒸发器中蒸发并分别与不活泼的载气混合。将铪前驱物和硅前驱物各同时脉冲进入腔室中约1秒。将氮气的清洗气体供给腔室约1秒以除去任何未结合的铪或硅前驱物。将各具有流速约100sccm的氢氮混合气(0.5vol%的H2和N2平衡)和氧气供给WVG系统。来自WVG系统的氧化气体含有流速约0.25sccm的水和流速约100sccm的氧气。将氧化气体供给处理室约1.7秒。将氮气的清洗气体供给腔室约5秒以除去任何未结合的或未反应的反应物,如副产物、铪前驱物、硅前驱物、氧气和/或水。每个ALD循环形成约的硅酸铪薄膜。
实施例10-在ALD工艺期间,通过连续脉冲铪前驱物以及由WVG系统产生的氧化气体来形成铪氧化物薄膜。将衬底表面暴露在预处理工艺中以在该衬底表面上形成羟基基团。在约23℃的温度下将铪前驱物-TDEAH在前驱物安瓿内加热。将氮气载气以流速约为400sccm导入含有铪前驱物的铪前驱物安瓿内。铪前驱物饱和载气并提供给腔室约2秒。将氮气的清洗气体提供给腔室中约1.5秒以除去任何未结合的铪前驱物。将流速约100sccm和约120sccm的氢气和氧气分别供给WVG系统。来自WVG系统的氧化气体含有流速约为100sccm的水和流速约为70sccm的氧气。将氧化气体提供给腔室中约1.5秒以除去任何未结合或未反应的反应物,如副产物、铪前驱物、氧气和/或水。每个ALD循环形成约 的硅酸铪薄膜。
通过以交替的方式分别给出化学物以沉积材料从而用选择的半反应实现所需的薄膜组成或者特性。然而,上述的半反应不规定所得薄膜的精确键连接或化学计算。虽然在化学反应期间大部分产物组成的化学计算为热力控制,但是为了获得所需的组成,产物组成的化学计算也可以为动力控制的。因此,可以修改配料顺序以影响薄膜的整个组成和质量。
虽然前文为本发明的实施方式的描述,但可以在不脱离本发明的基本范围和以下确定的权利要求范围的情况下,设计本发明的其他以及更进一步的实施方式。

Claims (26)

1.一种在设置在工艺腔室内的衬底上形成含铪高k材料的方法,包括:
在工艺腔室内提供衬底,该工艺腔室连接一水蒸气发生器;
将所述衬底暴露至铪前驱物以在所述衬底上形成含铪层;
用清洗气体清洗所述工艺腔室;
将所述衬底暴露于氧化气体以在所述衬底上形成铪氧化物高k材料,其中所述氧化气体是包含水蒸气的氧化气体,所述包含水蒸气的氧化气体是通过将氢源气体和氧源气体流经所述水蒸气发生器而形成的并且所述包含水蒸气的氧化气体进入所述工艺腔室;以及
用所述清洗气体清洗所述工艺腔室。
2.根据权利要求1所述的方法,其中,所述氢源气体包括氢气。
3.根据权利要求2所述的方法,其中,所述氧源气体包括氧气、一氧化二氮或它们的组合。
4.根据权利要求3所述的方法,其中,所述氧源气体以比所述氢气更快的速率流入水蒸气发生器。
5.根据权利要求4所述的方法,还包括将另外的氧气与水蒸气结合以形成富含氧气的氧化气体。
6.根据权利要求2所述的方法,其中,所述氢源气体为氢氮混合气。
7.根据权利要求6所述的方法,其中,按载气体积计,所述混合气体具有浓度范围从约1%至约30%的氢气。
8.根据权利要求1所述的方法,其中,在所述衬底暴露至铪前驱物之前,使所述衬底在一预浸工艺期间暴露于所述氧化气体约5秒至约30秒的时间范围。
9.根据权利要求1所述的方法,还包括通过下述步骤在铪氧化物高k材料上沉积硅氧化物材料:
将所述衬底暴露至硅前驱物以在所述衬底上形成含硅层;
用所述清洗气体清洗所述工艺腔室;
将所述衬底暴露至所述氧化气体以在所述衬底上形成硅氧化物材料;以及
用所述清洗气体清洗所述工艺腔室。
10.一种于原子层沉积工艺期间在衬底上沉积含铪高k材料的方法,包括:
将衬底设置在ALD腔室内,该ALD腔室连接一水蒸气发生器;
使氢源气体和氧源气体流入所述水蒸气发生器中以产生含有水蒸气的氧化气体;以及
将所述ALD腔室内的衬底连续暴露至氧化气体和含有铪前驱物的工艺气体以在所述衬底上形成含铪高k材料。
11.根据权利要求10所述的方法,其中,所述氢源气体包括氢气。
12.根据权利要求11所述的方法,其中,所述氧源气体包括氧气、一氧化二氮或它们的组合。
13.根据权利要求12所述的方法,其中,所述氧源气体以比所述氢气更快的速率流入水蒸气发生器。
14.根据权利要求13所述的方法,还包括将另外的氧气与水蒸气结合以形成富含氧气的氧化气体。
15.根据权利要求11所述的方法,其中,所述氢源气体为氢氮混合气。
16.根据权利要求15所述的方法,其中,按载气体积计,所述混合气体具有浓度范围从1%至30%的氢气。
17.根据权利要求10所述的方法,其中,所述含铪高k材料选自由铪氧化物、硅酸铪、铪硅氮氧化物、铪氮氧化物、铝酸铪、其衍生物以及它们的组合组成的组。
18.根据权利要求17所述的方法,其中,所述工艺气体还包括硅前驱物或者铝前驱物。
19.根据权利要求10所述的方法,其中,在形成含铪高k材料之前,使所述衬底在一预浸工艺期间暴露至所述氧化气体5秒至30秒的时间范围。
20.一种于原子层沉积工艺期间在衬底上形成高k介电材料的方法,包括:
将衬底设置在工艺腔室内;
使氢源气体和氧源气体流入水蒸气发生器中以形成含有水蒸气的氧化气体;以及
将所述衬底连续暴露至氧化气体和至少一种前驱物以在所述衬底上形成高k介电材料,其中,所述至少一种前驱物选自由铪前驱物、锆前驱物、硅前驱物、铝前驱物、钽前驱物、钛前驱物、镧前驱物以及它们的组合组成的组。
21.根据权利要求20所述的方法,其中,所述高k介电材料包括选自下述材料中的至少一种材料:铪氧化物、硅酸铪、锆氧化物、硅酸锆、镧氧化物、硅酸镧、钽氧化物、硅酸钽、钛氧化物、硅酸钛、铝氧化物、硅酸铝、硅氧化物、其衍生物以及它们的组合。
22.根据权利要求20所述的方法,其中,在形成高k介电材料之前,使所述衬底在一预浸工艺期间暴露至所述氧化气体5秒至30秒的时间范围。
23.一种于原子层沉积工艺期间在衬底上沉积硅酸铪高k材料的方法,包括:
将衬底设置在ALD腔室内,该ALD腔室连接一水蒸气发生器;
将氢源气体和氧源气体流入所述水蒸气发生器中以形成含有水蒸气的氧化气体;以及
将ALD腔室内的衬底连续暴露至氧化气体和包括铪前驱物和硅前驱物的工艺气体以在衬底上形成硅酸铪高k材料,其中通过蒸发含有所述铪前驱物和所述硅前驱物的反应物混合物来形成所述工艺气体。
24.根据权利要求23所述的方法,其特征在于,所述工艺气体是通过在ALD腔室内组合含有铪前驱物的第一气体和含有硅前驱物的第二气体形成的。
25.一种在衬底上形成含铪介电层叠的方法,包括:
在ALD腔室内提供衬底,该ALD腔室连接一水蒸气发生器;
使氢源气体和氧源气体流入所述水蒸气发生器中以形成含有水蒸气的氧化气体;以及
在所述衬底上形成至少一铪氧化物层和至少一硅酸铪层,该步骤包括:
将ALD腔室内的衬底连续暴露至氧化气体和含有铪前驱物和硅前驱物的第一工艺气体以在所述衬底上形成硅酸铪材料;以及
将所述衬底连续暴露至氧化气体和含有铪前驱物的第二工艺气体以在所述硅酸铪材料上形成铪氧化物材料。
26.根据权利要求25所述的方法,其中,在形成所述硅酸铪材料之前,使该衬底在一预浸工艺期间暴露至所述氧化气体5秒至30秒的时间范围。
CN2005800084063A 2004-05-12 2005-05-12 用于高介电常数含铪介电材料的原子层沉积的装置和方法 Expired - Fee Related CN1934287B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US57017304P 2004-05-12 2004-05-12
US60/570,173 2004-05-12
PCT/US2005/016603 WO2005113855A1 (en) 2004-05-12 2005-05-12 Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials

Publications (2)

Publication Number Publication Date
CN1934287A CN1934287A (zh) 2007-03-21
CN1934287B true CN1934287B (zh) 2012-06-20

Family

ID=34969846

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200580008347XA Expired - Fee Related CN101052745B (zh) 2004-05-12 2005-05-12 用于高介电常数含铪介电材料的原子层沉积的装置和方法
CN2005800084063A Expired - Fee Related CN1934287B (zh) 2004-05-12 2005-05-12 用于高介电常数含铪介电材料的原子层沉积的装置和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200580008347XA Expired - Fee Related CN101052745B (zh) 2004-05-12 2005-05-12 用于高介电常数含铪介电材料的原子层沉积的装置和方法

Country Status (6)

Country Link
US (5) US20050252449A1 (zh)
EP (2) EP1745159A2 (zh)
JP (2) JP5053079B2 (zh)
KR (1) KR101316056B1 (zh)
CN (2) CN101052745B (zh)
WO (2) WO2005113852A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI684666B (zh) * 2014-08-22 2020-02-11 美商蘭姆研究公司 按需求塡充安瓿
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11180850B2 (en) 2014-08-22 2021-11-23 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition

Families Citing this family (675)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) * 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
DE102004019575A1 (de) * 2004-04-20 2005-11-24 Innovent E.V. Technologieentwicklung Verfahren zur Herstellung von transmissionsverbessernden und/oder reflexionsmindernden optischen Schichten
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
JP2006128547A (ja) * 2004-11-01 2006-05-18 Toshiba Corp 半導体装置及びその製造方法
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
JP4711733B2 (ja) * 2005-05-12 2011-06-29 株式会社Adeka 酸化珪素系薄膜の製造方法
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
JP4896041B2 (ja) * 2006-01-17 2012-03-14 株式会社日立国際電気 半導体装置の製造方法
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
DE102006023046B4 (de) * 2006-05-17 2009-02-05 Qimonda Ag Verfahren und Ausgangsmaterial zum Bereitstellen eines gasförmigen Precursors
US7863198B2 (en) * 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US7582574B2 (en) * 2006-05-30 2009-09-01 Air Products And Chemicals, Inc. Diethylsilane as a silicon source in the deposition of metal silicate films
JP2009539237A (ja) * 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
JP2010506408A (ja) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7776395B2 (en) * 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP4845782B2 (ja) * 2007-03-16 2011-12-28 東京エレクトロン株式会社 成膜原料
JP5176358B2 (ja) * 2007-03-27 2013-04-03 東京エレクトロン株式会社 成膜装置及び成膜方法
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US20080274615A1 (en) * 2007-05-02 2008-11-06 Vaartstra Brian A Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
CN101680088B (zh) * 2007-05-22 2013-01-02 国立大学法人长冈技术科学大学 金属氧化物薄膜的制造方法及制造装置
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP4659856B2 (ja) * 2007-06-08 2011-03-30 東京エレクトロン株式会社 微細パターンの形成方法
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
DE102008026001B4 (de) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
WO2009034898A1 (ja) * 2007-09-12 2009-03-19 Tokyo Electron Limited 成膜装置及び成膜方法
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8993051B2 (en) * 2007-12-12 2015-03-31 Technische Universiteit Delft Method for covering particles, especially a battery electrode material particles, and particles obtained with such method and a battery comprising such particle
US8012532B2 (en) 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
KR101579217B1 (ko) * 2007-12-20 2015-12-21 소이텍 전구체 개스를 에피택셜 성장 기판으로 전달하는 장치
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
JP5408819B2 (ja) * 2008-01-29 2014-02-05 国立大学法人長岡技術科学大学 堆積装置および堆積方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
WO2009106433A1 (en) 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
WO2009117440A1 (en) * 2008-03-17 2009-09-24 Applied Materials, Inc. Heated valve manifold for ampoule
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090255582A1 (en) * 2008-04-10 2009-10-15 Epv Solar, Inc. Methods of drying glass for photovoltaic applications
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
KR101580575B1 (ko) 2008-04-25 2015-12-28 에이에스엠 인터내셔널 엔.브이. 텔루르와 셀렌 박막의 원자층 증착을 위한 전구체의 합성과 그 용도
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
TWI467045B (zh) * 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
TW200949939A (en) * 2008-05-23 2009-12-01 Sigma Aldrich Co High-k dielectric films and methods of producing using titanium-based β -diketonate precursors
US8208241B2 (en) 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US10566169B1 (en) 2008-06-30 2020-02-18 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5209395B2 (ja) * 2008-07-25 2013-06-12 大陽日酸株式会社 気相成長装置
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2010084157A (ja) * 2008-09-29 2010-04-15 Tokyo Electron Ltd ガス導入機構及び成膜装置
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US7820506B2 (en) 2008-10-15 2010-10-26 Micron Technology, Inc. Capacitors, dielectric structures, and methods of forming dielectric structures
JP5797255B2 (ja) * 2008-10-29 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5400795B2 (ja) * 2008-11-21 2014-01-29 国立大学法人長岡技術科学大学 基板処理方法及び基板処理装置
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102414794B (zh) * 2009-04-21 2015-01-28 应用材料公司 改良膜厚度不均匀性与粒子表现的cvd设备
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8409984B2 (en) * 2009-06-10 2013-04-02 Nexgen Semi Holding, Inc. Apparatus and method for manufacturing multi-component oxide heterostructures
US8507389B2 (en) 2009-07-17 2013-08-13 Applied Materials, Inc. Methods for forming dielectric layers
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
CN101994087B (zh) * 2009-08-14 2013-04-24 鸿富锦精密工业(深圳)有限公司 蒸镀装置
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US20110256314A1 (en) * 2009-10-23 2011-10-20 Air Products And Chemicals, Inc. Methods for deposition of group 4 metal containing films
KR101829380B1 (ko) 2009-10-26 2018-02-19 에이에스엠 인터내셔널 엔.브이. 5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도
KR101584100B1 (ko) * 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
EP2499274B1 (en) * 2009-11-09 2016-04-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition methods using hafnium-containing compounds
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5770892B2 (ja) * 2009-11-20 2015-08-26 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8592294B2 (en) * 2010-02-22 2013-11-26 Asm International N.V. High temperature atomic layer deposition of dielectric oxides
US20110293830A1 (en) 2010-02-25 2011-12-01 Timo Hatanpaa Precursors and methods for atomic layer deposition of transition metal oxides
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
KR101232904B1 (ko) * 2010-09-06 2013-02-13 엘아이지에이디피 주식회사 화학기상 증착장치 및 화학기상 증착장치의 세정방법
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
CN102453883A (zh) * 2010-10-19 2012-05-16 英作纳米科技(北京)有限公司 兼具阻隔作用及良好生物相容性的内壁涂层的制备方法
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
US20130330936A1 (en) * 2011-02-07 2013-12-12 Technische Universiteit Eindhoven METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
SG11201405415TA (en) * 2011-04-07 2014-11-27 Picosun Oy Deposition reactor with plasma source
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
JP5660205B2 (ja) * 2011-04-25 2015-01-28 東京エレクトロン株式会社 成膜方法
KR101895398B1 (ko) * 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130017317A1 (en) * 2011-07-13 2013-01-17 Ring Kenneth M Load lock control method and apparatus
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025786A1 (en) 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US9448564B2 (en) 2013-02-15 2016-09-20 Reno Technologies, Inc. Gas delivery system for outputting fast square waves of process gas during semiconductor processing
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9690301B2 (en) 2012-09-10 2017-06-27 Reno Technologies, Inc. Pressure based mass flow controller
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
CN102312217B (zh) * 2011-09-06 2013-04-17 中国科学院长春光学精密机械与物理研究所 采用复合模式生长半导体薄膜的方法及装置
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013110161A (ja) * 2011-11-17 2013-06-06 National Institute Of Advanced Industrial & Technology 素子形成用基板及びその製造方法
US8679988B2 (en) 2011-11-22 2014-03-25 Intermolecular, Inc. Plasma processing of metal oxide films for resistive memory device applications
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8741698B2 (en) 2011-11-29 2014-06-03 Intermolecular, Inc. Atomic layer deposition of zirconium oxide for forming resistive-switching materials
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US8569104B2 (en) * 2012-02-07 2013-10-29 Intermolecular, Inc. Transition metal oxide bilayers
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9514935B2 (en) 2012-03-28 2016-12-06 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and program
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8921176B2 (en) 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101469713B1 (ko) * 2012-12-06 2014-12-05 연세대학교 산학협력단 경사형 C/SiC 코팅막 형성 방법 및 장치
JP6087609B2 (ja) 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US9040413B2 (en) 2012-12-13 2015-05-26 Intermolecular, Inc. Using saturated and unsaturated ALD processes to deposit oxides as ReRAM switching layer
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140183051A1 (en) * 2013-01-02 2014-07-03 International Business Machines Corporation Deposition of pure metals in 3d structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9343749B2 (en) * 2013-05-29 2016-05-17 Ford Global Technologies, Llc Ultrathin platinum films
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9275853B2 (en) * 2013-07-29 2016-03-01 Applied Materials, Inc. Method of adjusting a transistor gate flat band voltage with addition of AL203 on nitrided silicon channel
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US20150064361A1 (en) * 2013-09-04 2015-03-05 Intermolecular Inc. UV treatment for ALD film densification
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP5847783B2 (ja) 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
CN105448674B (zh) * 2014-06-11 2018-12-21 清华大学 N型半导体层以及n型薄膜晶体管的制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
JP6359913B2 (ja) * 2014-08-12 2018-07-18 東京エレクトロン株式会社 処理装置
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9330902B1 (en) 2015-06-04 2016-05-03 United Microelectronics Corp. Method for forming HfOx film based on atomic layer deposition (ALD) process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9613870B2 (en) 2015-06-30 2017-04-04 International Business Machines Corporation Gate stack formed with interrupted deposition processes and laser annealing
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6457104B2 (ja) 2015-09-29 2019-01-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
JP2016034043A (ja) * 2015-11-25 2016-03-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108495950A (zh) * 2016-02-10 2018-09-04 倍耐克有限公司 用于原子层沉积的装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102149907B1 (ko) 2016-03-03 2020-08-31 어플라이드 머티어리얼스, 인코포레이티드 주기적 공기-물 노출에 의한 개선된 자기-조립 단분자층 차단
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102206515B1 (ko) * 2016-03-25 2021-01-22 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세싱을 위한 챔버 라이너
KR102137477B1 (ko) * 2016-03-29 2020-07-24 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
EP3449500A4 (en) 2016-04-25 2020-04-22 Applied Materials, Inc. CHEMICAL DISTRIBUTION CHAMBER FOR SINGLE-ASSEMBLED SINGLE-LAYER TREATMENTS
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11837479B2 (en) * 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
GB2561190A (en) 2017-04-04 2018-10-10 Edwards Ltd Purge gas feeding means, abatement systems and methods of modifying abatement systems
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN107022753B (zh) * 2017-04-19 2019-09-27 同济大学 一种原子层沉积反应装置及通孔材料表面薄膜沉积工艺
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190006586A1 (en) * 2017-06-29 2019-01-03 Asm Ip Holding B.V. Chalcogenide films for selector devices
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
JP7250397B2 (ja) 2017-08-11 2023-04-03 東京エレクトロン株式会社 ハロゲン不活性化を使用した選択的膜堆積
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
TWI635539B (zh) * 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2019058608A1 (ja) * 2017-09-25 2019-03-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
SG11202001472QA (en) 2017-09-26 2020-04-29 Applied Materials Inc Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102556277B1 (ko) 2018-04-23 2023-07-17 삼성디스플레이 주식회사 성막 장치 및 성막 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815891B (zh) * 2018-06-21 2023-09-21 美商應用材料股份有限公司 薄膜及沉積薄膜的方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
CN110541159A (zh) * 2018-11-06 2019-12-06 北京北方华创微电子装备有限公司 原子层沉积设备及方法
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020131214A1 (en) * 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200100928A (ko) * 2019-02-19 2020-08-27 현대자동차주식회사 분말의 표면 처리 장치 및 이를 이용한 분말의 표면 처리 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US10872763B2 (en) * 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
JP6826173B2 (ja) * 2019-09-17 2021-02-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230150309A (ko) 2021-03-03 2023-10-30 아이커 시스템즈, 인크. 매니폴드 조립체를 포함하는 유체 유동 제어 시스템
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0973191A1 (en) * 1997-03-05 2000-01-19 Hitachi, Ltd. Method for manufacturing semiconductor integrated circuit device
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films

Family Cites Families (476)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
DE2811651C3 (de) * 1978-03-17 1980-09-04 Fa. J. Eberspaecher, 7300 Esslingen Nachbrennvorrichtung mit Katalysator
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS58115130A (ja) * 1981-12-25 1983-07-08 Toyoda Autom Loom Works Ltd 仮撚ノズル
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS62104038A (ja) * 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
JPS63227011A (ja) 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
US5229081A (en) 1988-02-12 1993-07-20 Regal Joint Co., Ltd. Apparatus for semiconductor process including photo-excitation process
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
GB8815070D0 (en) * 1988-06-24 1988-08-03 Univ City Device for catalytic combination/recombination of hydrogen & oxygen
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP3140068B2 (ja) * 1991-01-31 2001-03-05 東京エレクトロン株式会社 クリーニング方法
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
DE4124018C1 (zh) 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5216959A (en) 1991-09-10 1993-06-08 Amada Company, Ltd. Method and device for press overload protection
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5408818A (en) * 1993-06-21 1995-04-25 Damron; Matthew S. Magnetic bar adapter for rakes
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FR2726684A1 (fr) * 1994-11-07 1996-05-10 Sediver Isolateur electrique et son procede de fabrication
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3901252B2 (ja) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
JP3644790B2 (ja) * 1997-04-28 2005-05-11 忠弘 大見 水分発生用反応炉
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5820678A (en) * 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US7056575B2 (en) * 1997-09-03 2006-06-06 Krona Industries Ltd. Low emissivity, high reflectivity insulation
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100252049B1 (ko) 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JPH11204517A (ja) * 1998-01-12 1999-07-30 Sony Corp シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
US6520218B1 (en) 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6291283B1 (en) 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
EP1186030B1 (de) 1999-05-12 2011-05-04 Qimonda AG Kondensator für halbleiteranordnung und verfahren zum herstellen einer dielektrischen schicht für denselben
JP2000349081A (ja) 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
JP2003517304A (ja) * 1999-11-22 2003-05-27 ヒューマン ジノーム サイエンシーズ, インコーポレイテッド クニッツ型プロテアーゼインヒビターポリヌクレオチド、ポリペプチド、および抗体
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
JP2001328900A (ja) 2000-05-15 2001-11-27 Denso Corp 薄膜の形成方法
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
IL144024A0 (en) * 2000-06-05 2002-04-21 Fujikin Kk Reactor for generating moisture
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
DE10034003A1 (de) * 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
AU2001280609A1 (en) * 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428847B1 (en) 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
FI118014B (fi) 2000-10-23 2007-05-31 Asm Int Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
JP3864696B2 (ja) * 2000-11-10 2007-01-10 株式会社デンソー 炭化珪素単結晶の製造方法及び製造装置
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6927435B2 (en) 2001-01-16 2005-08-09 Renesas Technology Corp. Semiconductor device and its production process
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP3730962B2 (ja) 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10117783A1 (de) * 2001-04-10 2002-10-24 Bat Cigarettenfab Gmbh Prozessgasaufbereitung für Tabaktrockner
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6632747B2 (en) * 2001-06-20 2003-10-14 Texas Instruments Incorporated Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
CA2452656C (en) 2001-07-18 2010-04-13 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
EP1444380B1 (en) * 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US20030104707A1 (en) 2001-11-16 2003-06-05 Yoshihide Senzaki System and method for improved thin dielectric films
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
WO2003081667A1 (en) * 2002-03-26 2003-10-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
JP3627106B2 (ja) 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7183604B2 (en) * 2002-06-10 2007-02-27 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant device
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
EP1512165A2 (en) 2002-06-12 2005-03-09 Applied Materials, Inc. Plasma apparatus and method for processing a substrate
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6638802B1 (en) * 2002-06-20 2003-10-28 Intel Corporation Forming strained source drain junction field effect transistors
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040015377A1 (en) * 2002-07-12 2004-01-22 Nokia Corporation Method for assessing software development maturity
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040024506A1 (en) * 2002-08-01 2004-02-05 Augustine Michael J. Vehicle steering system with visual feedback display
JP2004071757A (ja) * 2002-08-05 2004-03-04 Hitachi Ltd 高誘電率膜の製造方法及び製造装置
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
WO2004018909A2 (en) 2002-08-20 2004-03-04 Applied Materials, Inc. Electronically actuated valve
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6875678B2 (en) * 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6607973B1 (en) * 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7020802B2 (en) 2002-10-17 2006-03-28 Sun Microsystems, Inc. Method and apparatus for monitoring and recording computer system performance parameters
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
DE10319540A1 (de) 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
JP5342110B2 (ja) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
US6911093B2 (en) 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
CN100593235C (zh) * 2003-06-13 2010-03-03 应用材料公司 用于铜金属化的ald氮化钽的集成
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP3965167B2 (ja) * 2003-07-04 2007-08-29 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7978964B2 (en) 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0973191A1 (en) * 1997-03-05 2000-01-19 Hitachi, Ltd. Method for manufacturing semiconductor integrated circuit device
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI684666B (zh) * 2014-08-22 2020-02-11 美商蘭姆研究公司 按需求塡充安瓿
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11180850B2 (en) 2014-08-22 2021-11-23 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition

Also Published As

Publication number Publication date
KR20070015959A (ko) 2007-02-06
KR101316056B1 (ko) 2013-10-10
CN101052745B (zh) 2011-02-23
JP2007537360A (ja) 2007-12-20
CN1934287A (zh) 2007-03-21
US8282992B2 (en) 2012-10-09
EP1745159A2 (en) 2007-01-24
JP2007537605A (ja) 2007-12-20
US20080044569A1 (en) 2008-02-21
US20080041307A1 (en) 2008-02-21
US7794544B2 (en) 2010-09-14
WO2005113855A1 (en) 2005-12-01
JP5063344B2 (ja) 2012-10-31
JP5053079B2 (ja) 2012-10-17
CN101052745A (zh) 2007-10-10
US20050252449A1 (en) 2005-11-17
WO2005113852A3 (en) 2006-05-18
US20050271813A1 (en) 2005-12-08
US8343279B2 (en) 2013-01-01
EP1745160A1 (en) 2007-01-24
US20050271812A1 (en) 2005-12-08
WO2005113852A2 (en) 2005-12-01

Similar Documents

Publication Publication Date Title
CN1934287B (zh) 用于高介电常数含铪介电材料的原子层沉积的装置和方法
CN102144281B (zh) 原位腔室处理与沉积工艺
CN1926668B (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
US20080063798A1 (en) Precursors and hardware for cvd and ald
US8507389B2 (en) Methods for forming dielectric layers
CN102132381B (zh) 用于半导体工艺腔室的工艺气体输送
KR101611207B1 (ko) 원자 층 증착을 위한 장치들
CN1735709A (zh) 薄膜逐层沉积的方法和设备
TWI523104B (zh) 半導體裝置的製造方法、基板處理方法及基板處理裝置
CN101553597A (zh) 批次处理原子层沉积反应器的处理制程
CN102369589A (zh) 在基板上成长薄膜的方法及装置
JP2008174833A (ja) 金属ケイ素含有膜の周期的化学気相堆積
TW201213589A (en) Methods for forming tungsten-containing layers
KR101304395B1 (ko) 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법
US9388491B2 (en) Method for deposition of conformal films with catalysis assisted low temperature CVD
TW200822191A (en) Precursors and hardware for CVD and ALD

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120620

Termination date: 20170512