CN1759488A - 窄鳍片场效应晶体管 - Google Patents

窄鳍片场效应晶体管 Download PDF

Info

Publication number
CN1759488A
CN1759488A CNA2004800026970A CN200480002697A CN1759488A CN 1759488 A CN1759488 A CN 1759488A CN A2004800026970 A CNA2004800026970 A CN A2004800026970A CN 200480002697 A CN200480002697 A CN 200480002697A CN 1759488 A CN1759488 A CN 1759488A
Authority
CN
China
Prior art keywords
layer
fin structure
forms
nanometers
field effect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800026970A
Other languages
English (en)
Other versions
CN1759488B (zh
Inventor
Z·克里沃卡皮奇
J·X·安
S·达克希纳-默西
汪海宏
B·于
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1759488A publication Critical patent/CN1759488A/zh
Application granted granted Critical
Publication of CN1759488B publication Critical patent/CN1759488B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Abstract

一种具有小于6纳米的沟道宽度的窄沟道鳍式场效晶体管(FinFET)。该FinFET可包含一鳍片(140),其中是利用氨水(NH4OH)蚀刻或活性离子蚀刻(RIE)来削减该沟道区。

Description

窄鳍片场效应晶体管
技术领域
本发明大致涉及一种半导体器件及制造半导体器件的方法,更特别地,涉及双栅极金属氧化物半导体场效晶体管(Metal OxideSemiconductor Field Effect Transistor;简称MOSFET)。
背景技术
诸如MOSFET等的晶体管是大多数半导体器件的核心建构单元。诸如高性能处理器等的某些半导体器件可包含数百万个晶体管。对于这些器件而言,减小晶体管的尺寸并因而增加晶体管的密度在传统上已是半导体制造领域中的高优先项目。
传统的MOSFET在尺寸小于50纳米的制造工艺上有其困难度。为了开发小于50纳米的MOSFET,已有人提议双栅极MOSFET。在几个方面中,双栅极MOSFET提供了比传统块体(bulk)硅MOSFET更佳的性能。因为双栅极MOSFET在沟道的两边都有一栅极电极,并非是如同传统的MOSFET只在一边有栅极电极,所以有了这些改良。
发明内容
根据本发明的实施例提供了一种具有窄沟道区的双栅极MOSFET及其制造方法。
本发明的一个面向涉及一种MOSFET器件,该MOSFET器件包含在绝缘层上形成的源极及漏极结构。在该绝缘层上且在该源极与漏极之间形成一鳍片结构。该鳍片结构包含在该鳍片结构的沟道区中形成的削薄区。至少在该鳍片结构的该削薄区上形成保护层。该保护层的宽度大于该削薄区的宽度。在该鳍片结构的至少一部分周围形成介电层,且在该介电层及该鳍片结构周围形成栅极。
本发明的另一面向涉及一种形成MOSFET器件的方法。该方法包含下列步骤:在绝缘层上形成源极、漏极、和鳍片结构。该鳍片结构的各部分用来作为该MOSFET的沟道。该方法进一步包含下列步骤:在该鳍片结构上形成保护层;以及将该鳍片结构削减到大约3纳米至6纳米的宽度,但并不显著地削减该保护层。该方法进一步包含下列步骤:在该鳍片结构周围生长介电层;以及在该介电层周围沉积多晶硅层。该多晶硅层用来作为该MOSFET的栅极区。
附图说明
以下将参照附图进行说明,而在所有的附图中,具有相同参考标记的组件可代表类似的组件。
图1及图2是形成根据本发明的各方面的FinFET的剖面图;
图3是图2所示FinFET的透视图;
图4是图3所示FinFET的俯视图;
图5是沿着图4中的A-A’线的剖面图;
图6是图3所示FinFET的俯视图;
图7是沿着图4中的A-A’线的剖面图;
图8是图7所示FinFET的俯视图;
图9及图10是FinFET的剖面图;
图11是完成的FinFET的俯视图;
图12至图15是根据本发明的第二实施例的FinFET的剖面图;以及
图16至图18是在硅锗层周围建构的双栅极FinFET的剖面图。
具体实施方式
下文中将参照各附图对本发明进行详细说明。可将相同的参考标记用于不同的附图,以便识别相同的或类似的组件。此外,下文中的详细说明并非对本发明加以限制。应以最后的权利要求及其等效范围来界定本发明的范围。
作为本文所使用名词的FinFET意指一种在垂直硅“鳍片”中形成导电沟道的MOSFET。FinFET是本领域公知的。
图1是FinFET100的起始结构掺杂时的剖面图。FinFET100可包括绝缘体上硅(Silicon On Insulator;简称SOI)结构,该SOI结构包含在硅和/或锗衬底110上形成的埋入氧化物(BOX)层120、以及在BOX层120上的硅层130。或者,层130可包含锗、或硅-锗。在一实施例中,BOX层120的厚度范围可从大约200纳米至大约400纳米,且硅层130的厚度范围可从大约30纳米至大约100纳米。然后可沉积诸如氧化物层(例如,二氧化硅)和/或氮化物层(例如,氮化硅)等的保护层,以便用来作为后续蚀刻期间的保护盖。
然后可蚀刻硅层130及各保护层,以便形成硅鳍片140、以及在鳍片140上的保护层150及160(请参阅图2)。保护层150可以是氧化物层,且保护层160可以是氮化物层。层150的厚度可例如为大约15纳米,且层160的厚度范围可以是大约50至75纳米间。
然后可在邻近鳍片140末端处形成源极/漏极区。在一个实施例中,可在硅层130上产生图形并蚀刻硅层130,以便在形成鳍片140的同时也形成源极及漏极区。在其它的实施例中,可以传统的方式沉积并蚀刻另一硅层,以便形成源极及漏极区。图3是FinFET100的透视图,该FinFET100具有在邻近鳍片140末端处形成的源极及漏极区310及320。
图4是具有源极区310、漏极区320、及鳍片140的FinFET100的俯视图。图1和图2是的沿着图4中的A-A’线的剖面图。
然后可在FinFET100上形成硅酸四乙酯(TEOS)层501。图5是沿着图4中的A-A’线的FinFET100的剖面图,用以显示TEOS层501。可对TEOS层501进行退火及平坦化,以便在FinFET100的顶部产生较平坦的表面。
可在TEOS层501中界定镶嵌(damascene)栅极掩膜,并在该掩膜中产生图形。尤其可在TEOS层501中形成沟槽。然后可经由蚀刻而在TEOS层501中打开栅极区。图6是FinFET100的俯视图,其中TEOS层501中的区域602表示该被打开的部分。更具体而言,可利用该掩膜来蚀刻区域602中的TEOS层,并保持其余的TEOS层501。在一个实施例中,可通过在区域602中的TEOS层上沉积深度大约为50至70纳米的多晶硅层,来图形化该栅极区,以得到较小的栅极长度。可图形化该多晶硅层,而留下极薄的多晶硅线。然后可沉积厚度大约为120至150纳米的氧化物层,然后将该氧化物层剖光到该多晶硅层的顶部。接着将该多晶硅蚀刻掉。然后蚀刻区域602中的TEOS,且利用剩余的氧化物层作为该TEOS蚀刻的掩膜。
然后可削薄鳍片140。在一个实施例中,可使FinFET100接触氨水(NH4OH),直到鳍片140的宽度从10纳米至15纳米减少到大约3纳米至6纳米为止,而将鳍片140削薄。可在较慢的速率且受控制的进度下,执行该削薄工艺,使该鳍片以大约2埃/分钟的速率下被削减。被以此种方式削薄的鳍片显示在图7中,而图7是沿着图4的A-A’线的剖面图。图8是对应图7的俯视图。如图7及图8所示,在削薄鳍片140之后的FinFET100包含形成在氧化物层150及保护层160下的凹处。
如图9所示,可在鳍片140的侧表面上生长栅极介电层901。栅极介电层901的厚度可薄至0.6至1.2纳米。在替代实施例中,可在鳍片140的侧表面上形成具有0.6至1.2纳米的等效氧化物厚度(EquivalentOxide Thickness;简称EOT)的高介电常数(k)值层。
请参阅图10,然后可以一种传统的方式在FinFET100上沉积多晶硅层。可利用栅极掺杂掩膜来掺杂该多晶硅层。可利用磷来掺杂NMOS器件,且可利用硼来掺杂PMOS器件。可将该多晶硅层平坦化至氮化物层160的高度,而形成两个独立的多晶硅区1001A及1001B。可图形化多晶硅区1001A及1001B,并蚀刻多晶硅区1001A及1001B,以便形成FinFET100的栅极。多晶硅区1001A及1001B可因而形成两个在电性独立的栅极。在其它的实施例中,可不将多晶硅区1001A及1001B剖光到氮化硅层160的高度。替代性地,单一的多晶硅层可覆盖氮化硅层160。在此种情形中,该多晶硅层形成FinFET100的单一可寻址的栅极。
之后可将掩膜施加至栅极区602。使用该掩膜保护区域602,该TEOS层501以及保护二氧化硅与氮化硅层150与160沉积在该源极/漏极区域310与320上,接着可使用各向同性湿法蚀刻方式予以蚀刻以移除该TEOS层501。
在露出源极/漏极区310及320的表面之后,可对FinFET100执行离子注入,以便掺杂源极310及漏极320。更具体而言,在NMOS的FinFET中,可在1015原子/平方厘米的剂量以及5至10kev(千电子伏特)的能量下注入磷。在PMOS的FinFET中,可在1015原子/平方厘米的剂量以及2至5kev的能量下注入硼。
在离子注入之后,可对FinFET100执行自对准硅化(salicidation)工艺(即,自对准硅化物工艺)。在该步骤中,可在多晶硅(栅极)区1001A及1001B以及源极及漏极区310及320上沉积诸如钨、钴、钛、钽、钼、镍、铒、或铂等金属。然后可执行热退火,以便产生金属硅化物化合物。图11示出了在退火之后的FinFET100的俯视图。请参阅图11,该剖面阴影线代表在源极/漏极区310及320以及两个栅极区上的金属硅化物化合物。这些栅极区可包含在多晶硅区1001A及1001B的末端上形成的栅极垫1101及1102。所形成的FinFET100包含图11中以虚线示出的薄鳍片沟道区140。然而,如图10所示,保护层150及160的宽度大于鳍片140的宽度。有利之处在于:所形成的薄沟道MOSFET提供了较佳的短沟道控制。
请再参阅图5,在替代实施例中,并不是使鳍片140接触氨水将鳍片140削薄,而是可利用活性离子蚀刻(Reactive Ion Etching;简称RIE)工艺来削减鳍片140。一般而言,且如本领域所熟知的,RIE是离子蚀刻的一种变形,这是因为在RIE蚀刻期间,将半导体晶片放置在发出射频的电极上。在该实施例中,最初可利用RIE将鳍片140的宽度减少到大约3纳米至6纳米,而将鳍片140削薄。
然后可利用蚀刻工艺来去除保护层150及160,以便露出图12中被标示为鳍片1240的鳍片。
如图13所示,为了消除因蚀刻层150及160而引发的蚀刻损坏,然后可在鳍片1240的露出表面上形成牺牲氧化物层1301。可使牺牲氧化物层生长至或形成至大约0.6至1.2纳米的厚度,且也可将该牺牲氧化物层用来作为栅极介电层。在替代实施例中,可在鳍片140的侧表面上形成具有0.6至1.2纳米的等效氧化物厚度(EOT)的额外的氧化物层或高k值层,该额外的氧化物层或高k值层被标示为层1401。
请参阅图15,然后可以一种传统的方式在FinFET1200上沉积多晶硅层。可将该多晶硅层平坦化至氧化物层1301的高度,而形成两个独立的多晶硅区1201A及1201B。多晶硅区1201A及1201B可形成FinFET1200的栅极。多晶硅区1201A及1201B可因而形成两个电性独立的栅极。在其它的实施例中,可不将多晶硅区1201A及1201B剖光至氧化物层1301的高度。替代性地,单一的多晶硅层可覆盖氧化物层1301。在此种情形中,该多晶硅层形成FinFET1200的单一可寻址的栅极。
然后可将掩膜施加到FinFET1200的栅极区。使用该掩膜来保护该栅极区,然后可从其余的FinFET1200蚀刻掉TEOS层501以及在源极/漏极区310及320上沉积的额外保护层。
在露出源极/漏极区310及320的表面之后,可对FinFET1200执行离子注入。如此可有效地掺杂源极310及漏极320。更具体而言,在NMOS的FinFET中,可在1015原子/平方厘米的剂量以及5至10kev的能量下注入磷。在PMOS的FinFET中,可在1015原子/平方厘米的剂量以及2至5kev的能量下注入硼。
在离子注入之后,可对FinFET1200执行自对准硅化(salicidation)工艺(即,自对准硅化物工艺)。在该步骤中,可在多晶硅(栅极)区1201A及1201B以及源极及漏极区310及320上沉积诸如钨、钴、钛、钽、或钼等的金属。然后可执行热退火,以便产生金属硅化物化合物。此时,FinFET1200的俯视图类似于图11所示的FinFET200。
其它实施例
在某些情形中,可能需要形成应变硅(strained silicon)FinFET。图16至18是沿着图4中的A-A’线的FinFET1600的剖面图。
请参阅图16,可在埋入氧化物层1601上形成硅锗层1610。然后可在硅锗层1610上形成氮化物层1620。可以诸如一种形成类似于图7所示的薄鳍片的方式形成硅锗层1610及氮化物层1620的配置。因此,可初步将硅锗层1610及氮化物层1620蚀刻成具有相同的宽度,然后可横向蚀刻硅锗层1610,以便形成薄硅锗层1610。硅锗层1610的宽度可以是大约5纳米至15纳米。
请参阅图17,然后可在该硅锗层附近以外延生长方式生长出宽度大约为5纳米至10纳米的硅层1611。在生长出硅层1611之后,可接着形成栅极介电层1612。栅极介电层1612的厚度可薄至0.6至1.2纳米。
请参阅图18,然后可以一种传统的方式在FinFET1600上沉积一多晶硅层1801。然后图形化该多晶硅层,并蚀刻该多晶硅层,以便形成FinFET1600的栅极。也可将多晶硅层1801向下平坦化到氮化物层1620的高度。此时,可以前文所述的方式完成FinFET1600。
某些MOSFET具有被放置在单一埋入氧化物层上的PMOS及NMOS的FinFET。在该实施例中执行自对准硅化工艺(例如前文所述的自对准硅化工艺)时,可对适当的金属进行无电镀(electrolessplating),而完成选择性的自对准硅化。此外,可使用两种或更多种不同的硅化物。可将一种硅化物(例如钴、镍、稀土金属的铒、铕、镓、钐硅化物)用于NMOS的FinFET,且可将另一种硅化物(例如铂硅化物)用于PMOS的FinFET。在此种情形中,可先以光刻胶层覆盖该PMOS的FinFET,接着可沉积NMOS金属。然后可去除该PMOS的FinFET上的光刻胶层,接着可在该NMOS的FinFET上施加另光刻胶层。此时,可施加PMOS金属。然后可执行热退火,以便产生金属硅化物化合物。
结论
本文已说明了具有窄鳍片的FinFET及制造该窄鳍片FinFET的方法。该窄鳍片将其中包括短沟道控制的多个优点提供给FinFET。
在前文的说明中,述及了诸如特定材料、结构、化学品、工艺等的许多特定细节,以便使本发明能够彻底被了解。然而,可在不依靠本文所述的这些特定细节的情形下实施本发明。在其它的情形中,并未详细说明一些传统的处理结构,以免非必要地模糊了本发明的重点。
可以传统的沉积技术来沉积用来制造根据本发明的半导体器件的介电层及导电层。例如,可采用诸如其中包括低压化学气相沉积(LowPressure Chemical Vapor Deposition;简称LPCVD)及增强型化学气相沉积(Enhanced Chemical Vapor Deposition;简称ECVD)的各种类型的化学气相沉积(Chemical Vapor Deposition;简称CVD)工艺等的金属化技术。
可将本发明应用于制造半导体器件,尤其是应用于制造设计的特征尺寸为100纳米或更小的半导体器件,而可得到提高的晶体管及电路速度、以及较佳的可靠性。可将本发明应用于各种类型的半导体器件的形成,因而并未述及细节,以免模糊了本发明的要点。在实施本发明时,采用了传统的光刻及蚀刻技术,因而本文并未详细述及此类技术的细节。
本发明的揭示事项中只示出及说明了本发明的较佳实施例及其多用途。所当应了解,本发明可用于各种其它的组合及环境,且可在本文所陈述的本发明的观念的范围内对本发明加以修改。

Claims (9)

1.一种金属氧化物半导体场效晶体管器件,该金属氧化物半导体场效晶体管器件包含在绝缘层(120)上形成的源极(310)及漏极(320),并包含在该绝缘层上且在该源极(310)与漏极(320)之间形成的鳍片结构(140),该金属氧化物半导体场效晶体管器件的特征在于:
在该鳍片结构的沟道区中形成的削薄区;
至少在该鳍片结构的削薄区上形成的保护层(150,160),该保护层的宽度大于该削薄区的宽度;
在该鳍片结构的至少一个沟道部分周围形成的介电层(901);以及
在该介电层及该鳍片结构周围的绝缘层上形成的栅极(1101,1102)。
2.如权利要求1所述的器件,其中该削薄区的宽度大约为3至6纳米间。
3.如权利要求1所述的器件,其中该保护层包含:
氧化物层(150);以及
在该氧化物层上形成的氮化物层(160)。
4.如权利要求3所述的器件,其中该氧化物层(150)被沉积至大约15纳米的深度,且该氮化物层(160)被沉积至大约50纳米至75纳米间的深度。
5.如权利要求1所述的器件,其中该介电层(901)的厚度约为0.6纳米至1.2纳米间。
6.如权利要求1所述的器件,其中该栅极包含多晶硅。
7.如权利要求1所述的器件,其中该金属氧化物半导体场效晶体管器件是鳍片场效晶体管。
8.一种形成金属氧化物半导体场效晶体管器件的方法,包含下列步骤:
在绝缘层(120)上形成源极(310)、漏极(320)、及鳍片结构(140),该鳍片结构的部分用来作为该金属氧化物半导体场效晶体管的沟道;
在该鳍片结构上形成保护层(150,160);
在不显著地削减该保护层的情况下将该鳍片结构削减到大约3纳米至6纳米间的宽度;
在该鳍片结构周围生长介电层;以及
在该介电层周围沉积多晶硅层,该多晶硅层用来作为该金属氧化物半导体场效晶体管的栅极区。
9.如权利要求8所述的方法,其中形成该保护层的该步骤包含下列步骤:
将氧化物层沉积至大约15纳米的深度;以及
将氮化物层沉积至大约50纳米至75纳米间的深度。
CN2004800026970A 2003-01-23 2004-01-15 窄鳍片场效应晶体管 Expired - Lifetime CN1759488B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/348,910 US6762483B1 (en) 2003-01-23 2003-01-23 Narrow fin FinFET
US10/348,910 2003-01-23
PCT/US2004/000963 WO2004068589A1 (en) 2003-01-23 2004-01-15 Narrow fin finfet

Publications (2)

Publication Number Publication Date
CN1759488A true CN1759488A (zh) 2006-04-12
CN1759488B CN1759488B (zh) 2010-08-18

Family

ID=32681618

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800026970A Expired - Lifetime CN1759488B (zh) 2003-01-23 2004-01-15 窄鳍片场效应晶体管

Country Status (7)

Country Link
US (2) US6762483B1 (zh)
EP (1) EP1588422A1 (zh)
JP (1) JP2006516820A (zh)
KR (1) KR101035421B1 (zh)
CN (1) CN1759488B (zh)
TW (1) TW200418180A (zh)
WO (1) WO2004068589A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100352020C (zh) * 2004-03-01 2007-11-28 国际商业机器公司 场效应晶体管器件及其制造方法
CN103681356A (zh) * 2013-12-27 2014-03-26 上海集成电路研发中心有限公司 以碳纳米管为掩膜制备FinFET的方法

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6503783B1 (en) * 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US20060170053A1 (en) * 2003-05-09 2006-08-03 Yee-Chia Yeo Accumulation mode multiple gate transistor
EP1643560A4 (en) * 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100517559B1 (ko) * 2003-06-27 2005-09-28 삼성전자주식회사 핀 전계효과 트랜지스터 및 그의 핀 형성방법
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
DE10348007B4 (de) * 2003-10-15 2008-04-17 Infineon Technologies Ag Verfahren zum Strukturieren und Feldeffekttransistoren
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US6967175B1 (en) 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7186599B2 (en) * 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US6894337B1 (en) * 2004-02-02 2005-05-17 Advanced Micro Devices, Inc. System and method for forming stacked fin structure using metal-induced-crystallization
KR100598099B1 (ko) * 2004-02-24 2006-07-07 삼성전자주식회사 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법
US6872640B1 (en) * 2004-03-16 2005-03-29 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US7115947B2 (en) * 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
DE102004027691B4 (de) * 2004-06-07 2008-04-30 Infineon Technologies Ag Verfahren zum Herstellen eines Steges aus einem Halbleitermaterial
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7009250B1 (en) * 2004-08-20 2006-03-07 Micron Technology, Inc. FinFET device with reduced DIBL
KR100555573B1 (ko) * 2004-09-10 2006-03-03 삼성전자주식회사 Seg막에 의해 확장된 접합영역을 갖는 반도체 소자 및그의 제조방법
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7274053B2 (en) * 2004-11-05 2007-09-25 International Business Machines Corporation Fin device with capacitor integrated under gate electrode
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060118892A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
DE102006001680B3 (de) 2006-01-12 2007-08-09 Infineon Technologies Ag Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung
US7666741B2 (en) 2006-01-17 2010-02-23 International Business Machines Corporation Corner clipping for field effect devices
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US8518767B2 (en) * 2007-02-28 2013-08-27 International Business Machines Corporation FinFET with reduced gate to fin overlay sensitivity
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
KR101443215B1 (ko) * 2007-06-13 2014-09-24 삼성전자주식회사 앰비폴라 물질을 이용한 전계효과 트랜지스터 및 논리회로
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
KR101093588B1 (ko) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
US8441048B2 (en) 2007-09-12 2013-05-14 Arizona Board Of Regents For And On Behalf Of Arizona State University Horizontally depleted metal semiconductor field effect transistor
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US8003466B2 (en) * 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20090321833A1 (en) * 2008-06-25 2009-12-31 International Business Machines Corporation VERTICAL PROFILE FinFET GATE FORMED VIA PLATING UPON A THIN GATE DIELECTRIC
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7994020B2 (en) * 2008-07-21 2011-08-09 Advanced Micro Devices, Inc. Method of forming finned semiconductor devices with trench isolation
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
KR101216541B1 (ko) 2008-09-19 2012-12-31 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에피텍셜층 과성장에 의한 장치의 형성
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
CN101853882B (zh) 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
JP5705207B2 (ja) 2009-04-02 2015-04-22 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. 結晶物質の非極性面から形成される装置とその製作方法
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) * 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8258577B2 (en) * 2009-06-04 2012-09-04 International Business Machines Corporation CMOS inverter device with fin structures
US7985639B2 (en) * 2009-09-18 2011-07-26 GlobalFoundries, Inc. Method for fabricating a semiconductor device having a semiconductive resistor structure
US8101486B2 (en) 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
JP5404812B2 (ja) * 2009-12-04 2014-02-05 株式会社東芝 半導体装置の製造方法
CN102263131B (zh) * 2010-05-25 2013-05-01 中国科学院微电子研究所 一种半导体器件及其形成方法
CN102315269B (zh) * 2010-07-01 2013-12-25 中国科学院微电子研究所 一种半导体器件及其形成方法
US8551829B2 (en) 2010-11-10 2013-10-08 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8361854B2 (en) 2011-03-21 2013-01-29 United Microelectronics Corp. Fin field-effect transistor structure and manufacturing process thereof
US8597994B2 (en) 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
US8614152B2 (en) 2011-05-25 2013-12-24 United Microelectronics Corp. Gate structure and a method for forming the same
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US9087687B2 (en) * 2011-12-23 2015-07-21 International Business Machines Corporation Thin heterostructure channel device
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
CN103839814B (zh) * 2012-11-21 2016-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9934981B2 (en) * 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US10854735B2 (en) * 2014-09-03 2020-12-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming transistor
US9391074B1 (en) 2015-04-21 2016-07-12 International Business Machines Corporation Structure for FinFET fins
US10008384B2 (en) 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US9935102B1 (en) 2016-10-05 2018-04-03 International Business Machines Corporation Method and structure for improving vertical transistor
US10096524B1 (en) 2017-10-18 2018-10-09 International Business Machines Corporation Semiconductor fin patterning techniques to achieve uniform fin profiles for fin field effect transistors
US11545575B2 (en) 2020-07-02 2023-01-03 Globalfoundries U.S. Inc. IC structure with fin having subfin extents with different lateral dimensions
US11211453B1 (en) 2020-07-23 2021-12-28 Globalfoundries U.S. Inc. FinFET with shorter fin height in drain region than source region and related method

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5757038A (en) * 1995-11-06 1998-05-26 International Business Machines Corporation Self-aligned dual gate MOSFET with an ultranarrow channel
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
JP3543117B2 (ja) * 2001-03-13 2004-07-14 独立行政法人産業技術総合研究所 二重ゲート電界効果トランジスタ
US6630388B2 (en) * 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
JP2002289871A (ja) * 2001-03-28 2002-10-04 Toshiba Corp 半導体装置及びその製造方法
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100431489B1 (ko) * 2001-09-04 2004-05-12 한국과학기술원 플래쉬 메모리 소자 및 제조방법
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US6657252B2 (en) 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US6750487B2 (en) * 2002-04-11 2004-06-15 International Business Machines Corporation Dual double gate transistor
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100352020C (zh) * 2004-03-01 2007-11-28 国际商业机器公司 场效应晶体管器件及其制造方法
CN103681356A (zh) * 2013-12-27 2014-03-26 上海集成电路研发中心有限公司 以碳纳米管为掩膜制备FinFET的方法

Also Published As

Publication number Publication date
US6762483B1 (en) 2004-07-13
KR20050096156A (ko) 2005-10-05
JP2006516820A (ja) 2006-07-06
EP1588422A1 (en) 2005-10-26
WO2004068589A1 (en) 2004-08-12
TW200418180A (en) 2004-09-16
CN1759488B (zh) 2010-08-18
US6921963B2 (en) 2005-07-26
KR101035421B1 (ko) 2011-05-20
US20040197975A1 (en) 2004-10-07

Similar Documents

Publication Publication Date Title
CN1759488B (zh) 窄鳍片场效应晶体管
US7915167B2 (en) Fabrication of channel wraparound gate structure for field-effect transistor
US7611938B2 (en) Semiconductor device having high drive current and method of manufacture therefor
US7279756B2 (en) Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US20080023772A1 (en) Semiconductor device including a germanium silicide film on a selective epitaxial layer
US6924180B2 (en) Method of forming a pocket implant region after formation of composite insulator spacers
US9337313B2 (en) Spacerless fin device with reduced parasitic resistance and capacitance and method to fabricate same
CN1742362A (zh) 三栅极与栅极环绕的金属氧化物半导体场效应晶体管器件及其制造方法
CN1992181A (zh) 具有凹形沟道的半导体器件的形成方法
JP2007513489A (ja) 減少されたゲート高さを有するトランジスタを製造する方法
CN1649173A (zh) 半导体器件及其制造方法
CN1860589A (zh) 具有形成在硅区域中的镍/钴硅化物区域的半导体器件
US20230369053A1 (en) Semiconductor Device and Method of Manufacturing
US11139432B1 (en) Methods of forming a FinFET device
US6919605B2 (en) Integrated circuit MOS transistor with reduced drain and source resistance
US11923414B2 (en) Semiconductor device and method
US20220262925A1 (en) Nano-Fet Semiconductor Device and Method of Forming
US11251268B2 (en) Semiconductor device with doped structure
US11205647B2 (en) Semiconductor device and method of manufacture
TW200418132A (en) Method for manufacturing integrated circuit self-aligned devices
US20240096630A1 (en) Semiconductor device and manufacturing method thereof
US7081392B2 (en) Method for fabricating a gate structure of a FET and gate structure of a FET
US6969661B2 (en) Method for forming a localized region of a material difficult to etch
US20230066477A1 (en) Gate structures in transistors and method of forming same
US10833171B1 (en) Spacer structures on transistor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: GLOBALFOUNDRIES SEMICONDUCTORS CO., LTD

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES CORPORATION

Effective date: 20100722

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA STATE, THE USA TO: GRAND CAYMAN ISLAND, BRITISH CAYMAN ISLANDS

TA01 Transfer of patent application right

Effective date of registration: 20100722

Address after: Grand Cayman, Cayman Islands

Applicant after: GLOBALFOUNDRIES Inc.

Address before: California, USA

Applicant before: ADVANCED MICRO DEVICES, Inc.

TR01 Transfer of patent right

Effective date of registration: 20210224

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.

TR01 Transfer of patent right
CX01 Expiry of patent term

Granted publication date: 20100818

CX01 Expiry of patent term