CN1443366A - 利用化学吸附技术形成硼化物阻挡层 - Google Patents

利用化学吸附技术形成硼化物阻挡层 Download PDF

Info

Publication number
CN1443366A
CN1443366A CN01812886A CN01812886A CN1443366A CN 1443366 A CN1443366 A CN 1443366A CN 01812886 A CN01812886 A CN 01812886A CN 01812886 A CN01812886 A CN 01812886A CN 1443366 A CN1443366 A CN 1443366A
Authority
CN
China
Prior art keywords
substrate
boron
chemisorbed
refractory metal
containing compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN01812886A
Other languages
English (en)
Inventor
J·S·比昂
A·W·马克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1443366A publication Critical patent/CN1443366A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

公开了用于集成电路制造的硼化物层的形成方法。在一个实施例中,通过将含硼化合物(305)和一种难熔金属化合物(307)的单层化学吸附到衬底上形成硼化物层。在另一个实施例中,硼化物层具有复合结构。该复合硼化物层结构(409)包括两种或者多种难熔金属(M1,M2)。复合硼化物层通过在衬底上依次化学吸附硼化合物(405)和两种或者多种难熔金属化合物(407)形成。

Description

利用化学吸附技术形成硼化物阻挡层
发明背景
本发明涉及硼化物阻挡层的形成,更具体地说,涉及利用化学吸附技术的硼化物阻挡层。
在集成电路的制造中,经常使用阻挡层来防止金属和其它杂质扩散到位于这些阻挡层下面的区中。这些下面的区可以包含出现在集成电路中的晶体管的栅、电容器介质、半导体衬底、金属线以及其它的结构。
对于目前半微米(0.5μm)半导体器件的诞生,在互连层之间的界面处发生的任何细微反应都会引起得到的集成电路性能的降低(例如互连层的电阻率增加)。结果,阻挡层已经成为用于提高互连金属化配置的重要部件。
由于难熔金属化合物例如氮化物、硼化物和碳化物的化学惰性和低电阻率(例如电阻率一般低于大约200μΩ-cm,因此已经建议使用这些化合物作为扩散阻挡物。尤其是,因为由硼化钛(TiB2)材料形成的层通常具有低的电阻率(例如电阻率低于大约150μΩ-cm),因此已经建议使用硼化物例如硼化钛作为阻挡材料。
硼化物阻挡层一般利用化学汽相淀积(CVD)技术形成。例如,利用CVD,四氯化钛(TiCl4)可以与乙硼烷(B2H6)反应形成硼化钛(TiB2),然而,当使用Cl基化学物质形成硼化物阻挡层时,会出现可靠性问题。尤其是,利用CVD氯基化学物质形成的硼化物阻挡层一般具有高的氯(Cl)含量(例如,氯含量高于大约3%)。因为氯会从硼化物阻挡层迁移到相邻的互连层中,因此高的氯含量是不希望的,它会增加这些互连层的接触电阻和由这些互连层制成的集成电路特性的潜在变化。
因此,本领域需要用于集成电路制造的可靠的硼化物阻挡层。尤其希望可用于互连结构的硼化物阻挡层应是可靠的。
发明综述
提供用于集成电路制造的硼化物阻挡层。在一个实施例中,硼化物阻挡层包括一种难熔金属。可以通过在衬底上依次用化学方法吸附硼化合物和难熔金属化合物的交替的单层形成硼化物阻挡层。
在一个另外的实施例中,形成了复合硼化物阻挡层。复合硼化物阻挡层包括两种或者更多种难熔金属。复合硼化物阻挡层可以通过依次将硼化合物和两种或者多种难熔金属化合物的单层交替化学吸附到衬底上形成。
硼化物阻挡层适宜于集成电路制造工艺。在一个集成电路制造工艺中,硼化物阻挡层包括一种难熔金属。通过依次在衬底上化学吸附硼化合物和一种难熔金属的交替单层,形成硼化物阻挡层。此后,在硼化物阻挡层上,淀积一个或多个金属层,以便形成互连结构。
在另一个集成电路制造工艺中,硼化物阻挡层具有复合结构。该复合硼化物阻挡层包括两种或多种难熔金属。通过在衬底上依次化学吸附硼化合物和两种或多种难熔金属化合物单层形成该复合硼化物阻挡层。此后,在复合硼化物阻挡层上淀积一个或多个金属层,以便形成互连结构。
附图的简要说明
通过下面结合附图的详细描述,可以更好地理解本发明的教导,其中:
图1描绘了装置的示意图,该装置可用于实施这里所描述的实施例;
图2a-2c描绘了在引入硼化物阻挡层的集成电路制造工艺的不同阶段衬底结构的截面图;
图3a-3c描绘了经过硼化合物和一种难熔金属化合物的第一依次化学吸附工艺以便形成硼化物阻挡层的衬底截面图;
图4a-4d描绘了经过硼化合物和两种难熔金属化合物的第二依次化学吸附工艺以便形成复合硼化物阻挡层的衬底截面图;
图5a-5d描绘了经过硼化合物和两种难熔金属化合物的第三依次化学吸附工艺以便形成复合硼化物阻挡层的衬底截面图;以及
图6a-6c描绘了在引入多于一个硼化物阻挡层的集成电路制造工艺的不同阶段衬底结构的截面图。
本发明的详细描述
图1描绘了根据这里所描述的实施例可以用来形成硼化物阻挡层的晶片处理系统10的示意图。系统10包括处理室100、气体面板(gaspanel)130、控制单元110以及其他硬件部件例如电源106和真空泵102。下面简要描述处理室100的显著特征。室100
处理室100通常容纳有支撑基座150,该支撑基座150用来在处理室100中支撑衬底例如半导体晶片190。根据具体的工艺,可以将半导体晶片190在层形成之前加热到想要的温度。
在室100中,利用埋置的加热器170加热晶片支撑基座150。例如,可以通过从AC电源106将电流施加到加热器元件170来电阻性地加热基座150。结果,晶片190由基座150加热,并且可以保持在想要的工艺温度范围内,例如大约20℃-500℃。
温度传感器172例如热电偶也埋在晶片支撑基座150中,以便以传统的方式监视基座150的温度。例如,测量的温度可以用于反馈回路,以便控制由电源106施加给加热器元件170的电流,使得可以将晶片温度保持或者控制在适于特定工艺的理想温度内。可以利用辐射热(未示出)加热基座150。
真空泵102用来从处理室100抽出工艺气体(process gases),帮助保持室100内希望的压力。使用孔口(orifice)120将工艺气体引入处理室100中。孔口120的尺寸是可变的,并且一般随着处理室100的尺寸而变。
孔口120通过阀门125与气体面板130连接。气体面板130从两个或更多个气源135和136将工艺气体通过孔口120和阀门125供应到处理室100中。气体面板130还从吹扫用气源138通过孔口120和阀门125将吹扫用气体供应到处理室100中。
在晶片处理顺序的不同步骤中,控制单元110例如计算机控制流过气体面板130以及阀门125的各种工艺气体的流动。具体地说,控制单元110包括中央处理单元(CPU)112、支持电路114和包含相关控制软件的存储器116。除了控制通过气体面板130的工艺气体之外,在其他步骤中,控制单元110还负责自动控制晶片处理所需的大量步骤,例如晶片传输、温度控制和室抽气。
控制单元110可以是任何一种形式的普通目的的计算机处理器和子处理器,其中的计算机处理器可以用于控制各种室的工业环境。该计算机处理器可以使用任何适合的存储器例如随机存取存储器、只读存储器、软盘驱动器、硬盘驱动器或数字存储、局域或远程(local orremote)的任何其他形式。各种支持电路可以与计算机处理器连接,以便以通常的方式支持处理器。所需要的软件程序可以储存在存储器中或者由位于远程的第二处理器执行。控制单元110和晶片处理系统10的各个部件之间的双向通讯通过共同称为信号总线118的大量信号电缆来实现,图1中示出了一些信号电缆。硼化物阻挡层的形成
图2a-2c说明了用于互连结构的集成电路制造的硼化物层形成的一个优选实施例。通常,衬底200指的是其上进行膜处理的任何工件,通常使用衬底结构250来表示衬底200以及其他形成在衬底200上的材料层。根据处理的具体阶段,衬底200可以是硅半导体晶片或者其它已经形成在晶片上的材料层。例如,图2a示出了其上具有材料层202的衬底结构250的截面图。在该特定的说明中,材料层202可以是氧化物(例如二氧化硅)。已经按惯常的方式形成和布图了材料层202,以便提供延伸到衬底200顶表面200T的接触孔202H。
图2b显示了贴敷形成在衬底结构250上的硼化物层204。通过在衬底结构250上化学吸附含硼化合物和难熔金属化合物的单层形成硼化物层204。
通过依次将含硼化合物和一种或者多种难熔金属化合物提供到处理室中化学吸附单层。在第一依次化学吸附中,在衬底300上交替化学吸附含硼化合物和一种难熔金属化合物的单层,如图3a-3c所示。
图3a描绘了在集成电路制造的阶段中衬底300的截面图。通过将含硼气体的脉动(pulse)引入类似于图1所示的处理室中,在衬底300上化学吸附含硼化合物305的单层。含硼化合物一般使硼原子310与一个或者多个反应物质b315相结合。在硼化物层形成过程中,反应物质b315形成由真空系统从衬底300输送的副产物。
由于仅一个单层可以在给定的脉动过程中化学吸附到衬底300表面上,因此含硼化合物的化学吸附单层305是自限定(self-limiting)的。由于衬底具有有限的表面区,因此仅一个含硼化合物的单层化学吸附在衬底上。该有限的表面区提供了用于化学吸附含硼化合物的有限的位置。一旦有限的位置被含硼化合物占有,将阻碍含硼化合物的进一步化学吸附。
含硼化合物例如可以是具有通式BxHy的硼烷化合物,其中x具有1和1 0之间的范围,y具有3和30之间的范围。例如硼烷(BH3)、乙硼烷(B2H6)、三硼烷(B3H9)、四硼烷(B4H12)、五硼烷(B5H15)、六硼烷(B6H18)、七硼烷(B7H21)、八硼烷(B8H24)、九硼烷(B9H27)和十硼烷(B10H30)可以用作含硼化合物。
将硼化合物的单层化学吸附到衬底300上之后,通过引入吹扫用气体的脉动从处理室清除过量的含硼化合物。吹扫用气体例如尤其可以使用氦(He)、氩(Ar)、氮(N2)、氨(NH3)和氢(H2)。
处理室已经用气体吹扫之后,将一种难熔金属化合物的脉动引入处理室。参考图3b,难熔金属化合物层307化学吸附到硼单层305上。难熔金属化合物一般使难熔金属原子320与一种或者多种反应物质a325相结合。
难熔金属化合物的化学吸附单层307与含硼单层305反应以便形成硼化物层309。反应物质a325和b315形成通过真空系统从衬底300表面传输的副产物ab330。由于仅一个硼化合物的单层化学吸附到衬底300表面上,因此,难熔金属化合物307与硼单层305的反应是自限定的。
难熔金属化合物可以包含与反应物质例如氯(Cl)和氟(F)相结合的难熔金属,例如钛(Ti)、钨(W)、钽(Ta)、锆(Zr)、铪(Hf)、钼(Mo)、铌(Nb)、钒(V)和铬(Cr)。例如,四氯化钛(TiCl4)、六氟化钨(WF6)、五氯化钽(TaCl5)、四氯化锆(ZrCl4)、四氯化铪(HfCl4)、五氯化钼(MoCl5)、五氯化铌(NbCl5)、五氯化钒(VCl5)和四氯化铬(CrCl4)可以用作难熔金属化合物。
难熔金属化合物的单层化学吸附到衬底300上之后,通过引入另一吹扫用气体的脉动从处理室清除任何过量的难熔金属化合物。此后,如图3c所示,重复含硼化合物和难熔金属化合物的交替单层的硼化物层淀积顺序,直到获得想要的硼化物层厚度。例如,硼化物层的厚度可以为200至大约5000,大约2500 更好。
在图3a-3c中,将硼化物层的形成描绘为从在衬底上化学吸附含硼单层开始,接着化学化学吸附难熔金属化合物的单层。或者,硼化物层形成也可以从在衬底上化学吸附难熔金属化合物的单层开始,接着化学吸附含硼化合物的单层。
每次含硼化合物、一种或者两种难熔金属化合物和吹扫用气体的脉动时间是可变的,并且依赖于淀积室以及与其连接的真空系统的体积容量。同样,每次脉动之间的时间也是可变的,依赖于处理室以及与其连接的真空系统的体积容量。
总之,可以在低于大约500℃的衬底温度和低于大约100乇的室压力下化学吸附交替的单层。对于含硼化合物来说低于大约1秒的脉动时间以及对于难熔金属化合物来说低于大约1秒的脉动时间一般足以在衬底上化学吸附包括硼化物层的交替单层。对于吹扫用气体来说低于大约1秒的脉动时间一般足以除去处理室中遗留的反应副产物以及任何残余的材料。
在第二化学吸附工艺中,含硼单层和两种或者多种难熔金属化合物交替化学吸附在衬底上以便形成复合硼化物层。图4a描绘了可以用于集成电路制造阶段中的衬底400的截面图。与图1所示的晶片处理系统一样,根据上面参考图2a-2c所描述的处理条件,通过将含硼化合物的脉动引入到处理室中,在衬底400上化学吸附含硼化合物的自限定单层405。含硼化合物使硼原子410与一种或者多种反应物质b415相结合。
在硼化合物单层405化学吸附到衬底400上之后,通过引入吹扫用气体从处理室清除过量的含硼化合物。
参考图4b,用吹扫气体吹扫处理室之后,将第一难熔金属化合物M1a1的脉动引入到处理室中。第一难熔金属化合物层407化学吸附在硼单层405上。第一难熔金属化合物一般使第一难熔金属原子M1420与一种或者多种反应物质a1425相结合。
第一难熔金属化合物的化学吸附单层407与含硼单层405反应,以便形成硼化物单层409。反应物质a1425和b415形成通过真空系统从衬底400传输副的产物a1b430。
第一难熔金属化合物的单层407化学吸附到衬底400上之后,通过引入另一吹扫用气体的脉动从处理室清除过量的第一难熔金属化合物M1a1
然后将另一个含硼化合物的脉动引入到处理室中。在第一难熔金属单层407上化学吸附含硼化合物的单层405,如图4c所示。含硼化合物的化学吸附单层405与第一难熔金属单层407反应,以便形成硼化物层409。反应物质a1425和b415形成通过真空系统从衬底400传输的副产物a1b430。
硼化合物的单层405化学吸附到第一难熔金属单层407上之后,通过引入吹扫用气体的脉动从处理室清除过量的含硼化合物。
参考图4d,已经用吹扫用气体吹扫处理室之后,将第二难熔金属化合物M2a1的脉动引入到处理室中。第二难熔金属化合物层411化学吸附到硼单层405上。第二难熔金属化合物一般使第二难熔金属原子m2440与一种或者多种反应物质a1425相结合。
第二难熔金属化合物的化学吸附单层411与含硼单层405反应,以便形成硼化物复合层409。反应物质a1425和b415形成通过真空系统从衬底400传输的副产物a1b430。
第二难熔金属化合物的单层411化学吸附到衬底400上之后,通过引入另一吹扫用气体的脉动从处理室清除过量的第二难熔金属化合物M2a1
此后,重复含硼化合物和两种难熔金属化合物M1a1和M2a1的交替单层的硼化物层淀积顺序,直到获得想要的硼化物层厚度。
在图4a-4d中,将硼化物层的形成描绘为从在衬底上化学吸附含硼单层开始,接着化学吸附两种难熔金属化合物的单层。或者,硼化物层形成也可以从在衬底上化学吸附两种难熔金属化合物中的任何一种的单层开始,接着化学吸附含硼化合物的单层。任选地,还可以在衬底400上化学吸附多于两种难熔金属化合物的单层。
在第三化学吸附工艺中,在衬底上交替化学吸附含硼单层和两种或者多种难熔金属化合物,以便形成硼化物层,如图5a-5d所示。
图5a描绘了集成电路制造的阶段中衬底500的截面图。与图1所示的晶片处理系统一样,根据上面参考图2a-2c所描述的处理条件,通过将第一难熔金属化合物M1a1的脉动引入到处理室中,在衬底500上化学吸附第一难熔金属化合物的自限定单层507。
在第一难熔金属化合物单层507化学吸附到衬底500上之后,通过引入另一吹扫用气体的脉动从处理室清除过量的第一难熔金属化合物。
参考图5b,用吹扫气体吹扫处理室之后,将第二难熔金属化合物M2a1的脉动引入到处理室中。第二难熔金属化合物层511化学吸附在第一难熔金属单层507上。
第二难熔金属化合物单层511化学吸附到衬底500上之后,通过引入另一吹扫用气体的脉动从处理室清除过量的第二难熔金属化合物M2a1
然后将含硼化合物的脉动引入到处理室中。含硼化合物的单层505化学吸附在第二难熔金属化合物单层511上,如图5c所示。含硼化合物的化学吸附单层505与第二难熔金属单层511反应,以便形成硼化物层509。反应物质a1525和b515形成通过真空系统从衬底500传输的副产物a1b530。
硼化合物的单层505化学吸附到第二难熔金属单层511上之后,通过引入吹扫用气体的脉动从处理室清除过量的含硼化合物。
参考图5d,已经用吹扫用气体吹扫处理室之后,将第一难熔金属化合物M1a1的脉动引入到处理室中。第一难熔金属化合物单层507化学吸附到硼单层505上。
第一难熔金属化合物的化学吸附单层507与含硼单层505反应,以便形成硼化物单层509。反应物质a1525和b515形成通过真空系统从衬底500传输的副产物a1b530。
第一难熔金属化合物的单层507化学吸附到衬底500上之后,通过引入另一吹扫用气体的脉动从处理室清除过量的第一难熔金属化合物M1a1
此后,重复含硼化合物和两种难熔金属化合物M1a1和M2a1的交替单层的硼化物层淀积顺序,直到获得想要的硼化物层厚度。
在图5a-5d中,将硼化物层的形成描绘为从在衬底上化学吸附第一难熔金属单层开始,接着化学吸附第二难熔金属化合物和含硼化合物的单层。或者,硼化物层形成也可以从在衬底上化学吸附含硼化合物的单层开始,接着化学吸附两种难熔金属化合物的单层。任选地,还可以在衬底500上化学吸附多于两种难熔金属化合物的单层。
由于用于形成硼化物层的单层化学吸附原理,上面描述的顺序淀积工艺有利地为硼化物层提供了好的步骤分布(coverage)。尤其是,相信利用单层化学吸附原理的硼化物层的形成贡献了一种在复杂衬底形貌(topographies)上接近完美的步骤分布。
此外,在化学吸附工艺中,由于仅一个单层化学吸附在形貌表面,因此一旦已经形成单层,淀积区域的尺寸很大程度上独立于留在反应室中的母体气体的量。
参考图2c,形成硼化物层204之后,可以在其上形成接触层206以完成互连结构。接触层206最好选自铝(Al)、铜(Cu)、钨(W)及其组合。
接触层206例如可以使用化学汽相淀积(CVD)、物理汽相淀积(PVD)或者CVD和PVD的组合形成。例如,可以从包含氢化二甲基铝(DMAH)和氢(H2)或者氩(Ar)的气体混合物或其它含DMAH的化合物的反应淀积铝(Al)层,可以从包含Cu+2(hfac)2(六氟乙酰丙酮合铜)、Cu+2(fod)2(七氟二甲基辛烷双烯铜)、Cu+1hfacTMVS(六氟乙酰丙酮三甲基乙烯基硅烷铜)或者其组合淀积CVD铜层,可以从包含六氟化钨(WF6)的气体混合物淀积CVD钨层。由铜靶、铝靶或者钨靶淀积PVD层。
图6a-6c显示了用于互连结构的集成电路制造的硼化物层形成的另一个实施例。总的来说,衬底600指的是在其上进行膜处理的任何工件,通常使用衬底结构650来表示衬底600以及其它形成在衬底600上的材料层。根据具体的处理阶段,衬底600可以是硅半导体晶片,或者其它已经形成在晶片上的材料层。例如,图6a显示了其上具有材料层602的衬底结构650的截面图。在这个具体的说明中,材料层602可以是氧化物(例如二氧化硅)。通常材料层602已经形成并布图以便提供延伸到衬底600上表面600T的接触孔602H。
图6b显示了贴敷形成在衬底结构650上的两个硼化物层604和606。该硼化物层604和606都通过在衬底结构650上化学吸附含硼化合物和一种或者多种难熔金属化合物的单层而形成的,如上面参考图3a-5d所描述的。两个硼化物层604和606每个都可以包括一种或者两种难熔金属。两个或者多个硼化物层604和606的厚度可以随着处理的具体阶段变化。每个硼化物层604和606例如都具有大约200-5000范围内的厚度。
参考图6c,形成硼化物层604和606之后,可以在其上形成接触层608以完成互连结构。接触层608最好选自铝(Al)、铜(Cu)、钨(W)以及组合。
上述讨论中公开的具体处理条件只是为了说明。在本发明的硼化物层形成中,也可以使用其它的工艺参数组合,例如母体和惰性气体、流动范围、压力和温度。
尽管结合本发明的教导显示并详细描述了几个最佳实施例,但本领域技术人员仍然结合这些教导可以很容易地想出许多其它变化了的
实施例。

Claims (55)

1.一种用于集成电路制造的膜的淀积方法,包括步骤:
(a)在衬底上形成至少一个硼化物层,其中所述至少一个硼化物层使用依次化学吸附工艺形成。
2.权利要求1的方法,其中所述至少一个硼化物层包括一种或者多种难熔金属。
3.权利要求2的方法,其中所述一种或者多种难熔金属选自钛(Ti)、钨(W)、钒(V)、铌(Nb)、钽(Ta)、锆(Zr)、铪(Hf)、铬(Cr)和钼(Mo)。
4.权利要求1的方法,其中步骤(a)的依次化学吸附工艺包括步骤:
(b)在衬底上化学吸附含硼化合物和一种或者多种难熔金属化合物的单层,以便在其上形成硼化物层。
5.权利要求4的方法,其中在每个单层的化学吸附之后,用吹扫用气体对衬底进行吹扫。
6.权利要求4的方法,其中含硼化合物具有通式BxHy,其中x具有1和10之间的范围,y具有3和30之间的范围。
7.权利要求6的方法,其中含硼化合物选自硼烷(BH3)、乙硼烷(B2H6)、三硼烷(B3H9)、四硼烷(B4H12)、五硼烷(B5H15)、六硼烷(B6H18)、七硼烷(B7H21)、八硼烷(B8H24)、九硼烷(B9H27)和十硼烷(B10H30)。
8.权利要求4的方法,其中一种或者多种难熔金属化合物选自四氯化钛(TiCl4)、六氟化钨(WF6)、五氯化钽(TaCl5)、四氯化锆(ZrCl4)、四氯化铪(HfCl4)、五氯化钼(MoCl5)、五氯化铌(NbCl5)、氯化钒(VClx)和氯化铬(CrClx)。
9.权利要求4的方法,其中在低于大约500℃的温度下进行步骤(b)。
10.权利要求4的方法,其中在低于大约100乇的压力下进行步骤(b)。
11.权利要求5的方法,其中吹扫用气体选自氦(He)、氩(Ar)、氢(H2)、氮(N2)、氨(NH3)及其组合。
12.权利要求4的方法,其中含硼化合物和一种或者多种难熔金属化合物的单层交替化学吸附在衬底上。
13.权利要求12的方法,其中一个含硼化合物的单层化学吸附在一种或者多种难熔金属化合物的每个化学吸附单层之间。
14.权利要求12的方法,其中在一种或者多种难熔金属化合物的两个或者多个单层化学吸附在衬底上之后,一个含硼化合物的单层化学吸附在其上。
15.一种用于集成电路制造的阻挡层结构的形成方法,包括步骤:
(a)提供其上具有氧化物层的衬底,其中氧化物层具有形成在其内且到达衬底顶表面的孔;和
(b)在衬底表面和氧化物层的至少部分上形成至少一个硼化物层,其中使用依次化学吸附工艺形成所述至少一个硼化物层。
16.权利要求15的方法,其中所述至少一个硼化物层包括一种或者多种难熔金属。
17.权利要求16的方法,其中所述一种或者多种难熔金属选自钛(Ti)、钨(W)、钒(V)、铌(Nb)、钽(Ta)、锆(Zr)、铪(Hf)、铬(Cr)和钼(Mo)。
18.权利要求15的方法,其中步骤(b)的依次化学吸附工艺包括步骤:
(b)在衬底上化学吸附含硼化合物和一种或者多种难熔金属化合物的单层,以便在其上形成硼化物层。
19.权利要求18的方法,其中在每个单层的化学吸附之后,用吹扫用气体对衬底进行吹扫。
20.权利要求18的方法,其中含硼化合物具有通式BxHy,其中x具有1和10之间的范围,y具有3和30之间的范围。
21.权利要求20的方法,其中含硼化合物选自硼烷(BH3)、乙硼烷(B2H6)、三硼烷(B3H9)、四硼烷(B4H12)、五硼烷(B5H15)、六硼烷(B6H18)、七硼烷(B7H21)、八硼烷(B8H24)、九硼烷(B9H27)和十硼烷(B10H30)。
22.权利要求18的方法,其中一种或者多种难熔金属化合物选自四氯化钛(TiCl4)、六氟化钨(WF6)、五氯化钽(TaCl5)、四氯化锆(ZrCl4)、四氯化铪(HfCl4)、五氯化钼(MoCl5)、五氯化铌(NbCl5)、氯化钒(VClx)和氯化铬(CrClx)。
23.权利要求18的方法,其中在低于大约500℃的温度下进行步骤(c)。
24.权利要求18的方法,其中在低于大约100乇的压力下进行步骤(c)。
25.权利要求19的方法,其中吹扫用气体选自氦(He)、氩(Ar)、氢(H2)、氮(N2)、氨(NH3)及其组合。
26.权利要求18的方法,其中含硼化合物和一种或者多种难熔金属化合物的单层交替化学吸附在衬底上。
27.权利要求26的方法,其中一个含硼化合物的单层化学吸附在一种或者多种难熔金属化合物的每个化学吸附单层之间。
28.权利要求26的方法,其中在一种或者多种难熔金属化合物的两个或者多个单层化学吸附在衬底上之后,一个含硼化合物的单层化学吸附在其上。
29.一种用于集成电路制造的阻挡层结构的形成方法,包括步骤:
(a)提供其上具有氧化物层的衬底,其中氧化物层具有形成在其内且到达衬底顶表面的孔;和
(b)在衬底表面和氧化物层的至少部分上形成第一硼化物层,其中第一硼化物层包括两种或者多种难熔金属;和
(c)在第一硼化物层上形成第二硼化物层,其中第二硼化物层包括两种或者多种难熔金属。
30.权利要求29的方法,其中第一硼化物层具有小于大约100(埃)的厚度。
31.权利要求29的方法,其中第二硼化物层具有大约500-3000的厚度范围。
32.权利要求29的方法,其中第一硼化物层和第二硼化物层每个都使用依次化学吸附工艺形成。
33.权利要求32的方法,其中步骤(a)的依次化学吸附工艺包括步骤:
(a)在衬底上化学吸附含硼化合物和一种或者多种难熔金属化合物的单层。
34.权利要求33的方法,其中含硼化合物和一种或者多种难熔金属化合物的单层交替化学吸附在衬底上。
35.权利要求34的方法,其中一个含硼化合物的单层化学吸附在一种或者多种难熔金属化合物的每个化学吸附单层之间。
36.权利要求34的方法,其中在一种或者多种难熔金属化合物的两个或者多个单层化学吸附在衬底上之后,一个含硼化合物的单层化学吸附在其上。
37.包含软件程序(routine)的计算机存储介质,当执行时,该软件程序使普通目的的计算机使用薄膜淀积方法控制淀积室,该方法包括步骤:
(a)在衬底上形成硼化物层,其中使用依次化学吸附工艺形成硼化物层。
38.权利要求37的方法,其中硼化物层包括一种或者多种难熔金属。
39.权利要求38的方法,其中所述一种或者多种难熔金属选自钛(Ti)、钨(W)、钒(V)、铌(Nb)、钽(Ta)、锆(Zr)、铪(Hf)、铬(Cr)和钼(Mo)。
40.权利要求37的方法,其中步骤(a)的依次化学吸附工艺包括步骤:
(a)在衬底上化学吸附含硼化合物和一种或者多种难熔金属化合物的单层,以便在其上形成硼化物层。
41.权利要求40的方法,其中在每个单层的化学吸附之后,用吹扫用气体对衬底进行吹扫。
42.权利要求40的方法,其中含硼化合物具有通式BxHy,其中x具有1和10之间的范围,y具有3和30之间的范围。
43.权利要求42的方法,其中含硼化合物选自硼烷(BH3)、乙硼烷(B2H6)、三硼烷(B3H9)、四硼烷(B4H12)、五硼烷(B5H15)、六硼烷(B6H18)、七硼烷(B7H21)、八硼烷(B8H24)、九硼烷(B9H27)和十硼烷(B10H30)。
44.权利要求40的方法,其中一种或者多种难熔金属化合物选自四氯化钛(TiCl4)、六氟化钨(WF6)、五氯化钽(TaCl5)、四氯化锆(ZrCl4)、四氯化铪(HfCl4)、五氯化钼(MoCl5)、五氯化铌(NbCl5)、氯化钒(VClx)和氯化铬(CrClx)。
45.权利要求40的方法,其中含硼化合物和一种或者多种难熔金属化合物的单层交替化学吸附在衬底上。
46.权利要求45的方法,其中一个含硼化合物的单层化学吸附在一种或者多种难熔金属化合物的每个化学吸附单层之间。
47.权利要求45的方法,其中在一种或者多种难熔金属化合物的两个或者多个单层化学吸附在衬底上之后,一个含硼化合物的单层化学吸附在其上。
48.一种器件,包括:
至少一个形成在衬底上的硼化物层,其中所述至少一个硼化物层之一包括两种或者多种难熔金属。
49.权利要求48的方法,其中所述两种或者多种难熔金属选自钛(Ti)、钨(W)、钒(V)、铌(Nb)、钽(Ta)、锆(Zr)、铪(Hf)、铬(Cr)和钼(Mo)。
50.一种器件,包括:
其上具有氧化物层的衬底,其中氧化物层具有形成在其内且到达衬底顶表面的孔;和
在衬底表面和氧化物层的部分上形成的至少一个硼化物层,其中所述至少一个硼化物层之一包括两种或者多种难熔金属。
51.权利要求50的方法,其中所述两种或者多种难熔金属选自钛(Ti)、钨(W)、钒(V)、铌(Nb)、钽(Ta)、锆(Zr)、铪(Hf)、铬(Cr)和钼(Mo)。
52.一种互连结构,包括:
其上具有氧化物层的衬底,其中氧化物层具有形成在其内且到达衬底顶表面的孔;和
在衬底表面和氧化物层的部分上形成的第一硼化物层,其中第一硼化物层包括两种或者多种难熔金属;和
形成在第一硼化物层上的第二硼化物层,其中所述第二硼化物层包括一种或者多种难熔金属。
53.权利要求52的互连结构,其中所述一种或者多种难熔金属选自钛(Ti)、钨(W)、钒(V)、铌(Nb)、钽(Ta)、锆(Zr)、铪(Hf)、铬(Cr)和钼(Mo)。
54.权利要求52的互连结构,其中第一硼化物层具有小于大约100(埃)的厚度。
55.权利要求52的互连结构,其中第二硼化物层具有大约500-3000范围的厚度。
CN01812886A 2000-06-27 2001-06-26 利用化学吸附技术形成硼化物阻挡层 Pending CN1443366A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/604,943 2000-06-27
US09/604,943 US6620723B1 (en) 2000-06-27 2000-06-27 Formation of boride barrier layers using chemisorption techniques

Publications (1)

Publication Number Publication Date
CN1443366A true CN1443366A (zh) 2003-09-17

Family

ID=24421637

Family Applications (1)

Application Number Title Priority Date Filing Date
CN01812886A Pending CN1443366A (zh) 2000-06-27 2001-06-26 利用化学吸附技术形成硼化物阻挡层

Country Status (6)

Country Link
US (5) US6620723B1 (zh)
EP (1) EP1295331A2 (zh)
JP (1) JP5210482B2 (zh)
KR (1) KR20030017565A (zh)
CN (1) CN1443366A (zh)
WO (1) WO2002001628A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101675514B (zh) * 2007-05-04 2012-05-30 美光科技公司 钨数字线及形成和操作钨数字线的方法
CN112041980A (zh) * 2018-04-20 2020-12-04 恩特格里斯公司 利用硼成核层的低温钼膜沉积
TWI737693B (zh) * 2016-04-21 2021-09-01 荷蘭商Asm智慧財產控股公司 金屬硼化物的沉積

Families Citing this family (599)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) * 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
EP1229356A3 (en) * 2001-01-31 2004-01-21 Planar Systems, Inc. Methods and apparatus for the production of optical filters
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7368014B2 (en) 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20060263419A1 (en) * 2002-03-12 2006-11-23 Hans-Michael Wolff Transdermal therapeutic system for Parkinson's Disease
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
KR100578221B1 (ko) * 2004-05-06 2006-05-12 주식회사 하이닉스반도체 확산방지막을 구비하는 반도체소자의 제조 방법
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
EP1629902A1 (en) * 2004-08-30 2006-03-01 E.I. Dupont De Nemours And Company Method of copper deposition from a supercritical fluid solution containing copper (1) complexes with a neutral ligand
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070066060A1 (en) * 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
KR101019875B1 (ko) * 2006-06-30 2011-03-04 어플라이드 머티어리얼스, 인코포레이티드 나노결정 형성
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
CN101589459A (zh) * 2007-01-26 2009-11-25 应用材料股份有限公司 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
DE102007010563A1 (de) * 2007-02-22 2008-08-28 IHP GmbH - Innovations for High Performance Microelectronics/Institut für innovative Mikroelektronik Selektives Wachstum von polykristallinem siliziumhaltigen Halbleitermaterial auf siliziumhaltiger Halbleiteroberfläche
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
JP5631728B2 (ja) * 2010-12-28 2014-11-26 株式会社アルバック 絶縁膜形成方法、及び絶縁膜形成装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
CN103443906B (zh) 2011-03-04 2016-03-30 应用材料公司 触点清洁的方法
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8461043B2 (en) 2011-04-11 2013-06-11 Micron Technology, Inc. Barrier layer for integrated circuit contacts
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6488284B2 (ja) 2013-09-27 2019-03-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US20170309490A1 (en) * 2014-09-24 2017-10-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) * 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) * 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11177171B2 (en) * 2019-10-01 2021-11-16 International Business Machines Corporation Encapsulated top via interconnects
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP2023500375A (ja) 2019-11-08 2023-01-05 アプライド マテリアルズ インコーポレイテッド 材料の表面粗さを減少させる方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220028937A (ko) 2020-08-31 2022-03-08 삼성전자주식회사 반도체 소자용 배선재료, 이를 포함하는 반도체 소자용 배선 및 반도체소자
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
DE2203776B2 (de) 1972-01-27 1976-12-16 Battelle-Institut E.V., 6000 Frankfurt Hochbelastbare elektrische kontakte oder elektroden sowie verfahren zu deren herstellung
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPS6065712A (ja) 1983-09-20 1985-04-15 Toshiba Corp 酸化けい素被膜の形成方法
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0766910B2 (ja) 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
JPS62104038A (ja) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (ja) 1986-08-26 1996-03-29 セイコー電子工業株式会社 化合物半導体薄膜の成長方法
JPH0810211B2 (ja) 1986-09-05 1996-01-31 日本碍子株式会社 ガスセンサ及びその製造法
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
JP2587623B2 (ja) 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
JP2929291B2 (ja) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 絶縁ゲート電界効果トランジスタの製造方法
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
DE3704505A1 (de) 1987-02-13 1988-08-25 Leybold Ag Einlegegeraet fuer vakuumanlagen
JPH0812844B2 (ja) 1987-03-27 1996-02-07 日本電気株式会社 ▲iii▼−v族化合物半導体およびその形成方法
JPH0727861B2 (ja) 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
JPH0666274B2 (ja) 1987-07-01 1994-08-24 日本電気株式会社 ▲iii▼−v族化合物半導体の形成方法
EP0297867B1 (en) 1987-07-01 1993-10-06 Nec Corporation A process for the growth of iii-v group compound semiconductor crystal on a si substrate
FI81926C (fi) 1987-09-29 1990-12-10 Nokia Oy Ab Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater.
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
FR2626110A1 (fr) 1988-01-19 1989-07-21 Thomson Csf Procede de realisation par epitaxie d'une couche d'un materiau supraconducteur
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
ES2060622T3 (es) 1988-06-03 1994-12-01 Ibm Metodo para la fabricacion de superconductores de elevada tc, que tienen estructura estratificada.
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
JPH0666287B2 (ja) * 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP2926798B2 (ja) 1989-11-20 1999-07-28 国際電気株式会社 連続処理エッチング方法及びその装置
EP0430274A3 (en) 1989-12-01 1993-03-24 Seiko Instruments Inc. Method of producing bipolar transistor
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (ja) 1990-01-31 1995-11-13 新技術事業団 半導体デバイス及びその製造方法
DE9007506U1 (zh) 1990-02-13 1992-02-20 Amazonen-Werke H. Dreyer Gmbh & Co Kg, 4507 Hasbergen, De
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
JPH042699A (ja) 1990-04-18 1992-01-07 Mitsubishi Electric Corp 結晶成長方法
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
DE4027628A1 (de) 1990-08-31 1992-03-05 Wolters Peter Fa Vorrichtung zur steuerung oder regelung von laepp-, hon- oder poliermaschinen
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US6001669A (en) 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
JP3194256B2 (ja) * 1991-11-14 2001-07-30 富士通株式会社 膜成長方法と膜成長装置
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (ja) 1991-11-30 1999-12-06 科学技術振興事業団 半導体結晶のエピタキシャル成長方法
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
JP3129736B2 (ja) 1992-05-22 2001-01-31 ミネソタ マイニング アンド マニュファクチャリング カンパニー 原子層エピタキシーおよびマイグレーションエンハストエピタキシーにより成長した量子井戸を有するii−vi族レーザダイオード
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
JPH05343354A (ja) * 1992-06-09 1993-12-24 Sony Corp 半導体装置の密着層及びメタルプラグ形成方法
FI91422C (fi) 1992-06-18 1994-06-27 Mikrokemia Oy Menetelmä ja laitteisto nestemäisten reagenssien syöttämiseksi kemialliseen reaktoriin
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
JP3405466B2 (ja) 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (ja) 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd 高密度dramの製造方法および高密度dram
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JP3124861B2 (ja) 1993-03-24 2001-01-15 富士通株式会社 薄膜成長方法および半導体装置の製造方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US6130147A (en) 1994-04-07 2000-10-10 Sdl, Inc. Methods for forming group III-V arsenide-nitride semiconductor materials
US5742544A (en) * 1994-04-11 1998-04-21 Mosaid Technologies Incorporated Wide databus architecture
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3008782B2 (ja) 1994-07-15 2000-02-14 信越半導体株式会社 気相成長方法およびその装置
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
TW295677B (zh) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
US5529953A (en) * 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08148431A (ja) 1994-11-24 1996-06-07 Mitsubishi Electric Corp Mbe装置、及びガス分岐配管装置
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
KR100244041B1 (ko) 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
FI107533B (fi) 1996-04-03 2001-08-31 Fortum Oil & Gas Oy Kemiallisten reaktioiden suorittamiseen tarkoitetut funktionaaliset pinnat ja menetelmä niiden valmistamiseksi
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (ja) 1996-08-21 1999-07-26 工業技術院長 Iii族原子層の形成方法
KR100216542B1 (ko) 1996-08-27 1999-08-16 정선종 펄스 레이저 증착장치용 멀티 타겟 구동장치
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6051286A (en) 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6140237A (en) 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
JP3629954B2 (ja) * 1997-06-17 2005-03-16 ヤマハ株式会社 半導体装置及びその製造方法
US5882413A (en) 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5904569A (en) 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
KR100252049B1 (ko) 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US5942799A (en) * 1997-11-20 1999-08-24 Novellus Systems, Inc. Multilayer diffusion barriers
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6117244A (en) 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6316098B1 (en) 1998-03-27 2001-11-13 Yissum Research Development Company Of The Hebrew University Of Jerusalem Molecular layer epitaxy method and compositions
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FI105313B (fi) 1998-06-03 2000-07-14 Planar Systems Oy Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100327105B1 (ko) 1998-08-14 2002-03-09 오길록 고휘도 형광체 및 그 제조방법
EP1114464A1 (en) * 1998-08-20 2001-07-11 THE UNITED STATES OF AMERICA as represented by THE SECRETARY OF THE NAVY Electronic devices with barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
FI105643B (fi) 1998-08-21 2000-09-15 Planar Systems Oy Ohutkalvo-elektroluminesenssilaite ja menetelmä sen valmistamiseksi
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
JP2000188400A (ja) 1998-11-09 2000-07-04 Texas Instr Inc <Ti> 半導体デバイスを形成する方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2995300B1 (ja) 1999-02-03 1999-12-27 工業技術院長 機械要素部品の表面改善方法
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
EP1186030B1 (de) 1999-05-12 2011-05-04 Qimonda AG Kondensator für halbleiteranordnung und verfahren zum herstellen einer dielektrischen schicht für denselben
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
JP2000340883A (ja) 1999-05-27 2000-12-08 Fujitsu Ltd 多波長発振光半導体装置
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP2000353666A (ja) 1999-06-11 2000-12-19 Matsushita Electric Ind Co Ltd 半導体薄膜およびその製造方法
JP4726369B2 (ja) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
US6071808A (en) 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
AU6336700A (en) 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US7094284B2 (en) 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4776054B2 (ja) 2000-02-04 2011-09-21 株式会社デンソー 原子層成長による薄膜形成方法
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
JP4211185B2 (ja) 2000-02-29 2009-01-21 株式会社デンソー Cvd,ale装置用ガラス基板収納治具
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP4556282B2 (ja) 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP2001328900A (ja) 2000-05-15 2001-11-27 Denso Corp 薄膜の形成方法
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100387255B1 (ko) 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
DE10034003A1 (de) 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
AU2001280609A1 (en) 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
JP2002091834A (ja) * 2000-09-19 2002-03-29 Nissan Motor Co Ltd Rom診断装置
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
WO2002070142A1 (en) 2000-12-06 2002-09-12 Angstron Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6927435B2 (en) 2001-01-16 2005-08-09 Renesas Technology Corp. Semiconductor device and its production process
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
WO2003081667A1 (en) 2002-03-26 2003-10-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP4614639B2 (ja) 2002-06-10 2011-01-19 アイメック Hf含有組成物の誘電率(k値)増進
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6875678B2 (en) 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
JP2004111447A (ja) 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US7020802B2 (en) 2002-10-17 2006-03-28 Sun Microsystems, Inc. Method and apparatus for monitoring and recording computer system performance parameters
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101675514B (zh) * 2007-05-04 2012-05-30 美光科技公司 钨数字线及形成和操作钨数字线的方法
TWI737693B (zh) * 2016-04-21 2021-09-01 荷蘭商Asm智慧財產控股公司 金屬硼化物的沉積
CN112041980A (zh) * 2018-04-20 2020-12-04 恩特格里斯公司 利用硼成核层的低温钼膜沉积

Also Published As

Publication number Publication date
US20050118804A1 (en) 2005-06-02
WO2002001628A3 (en) 2002-04-11
US7501344B2 (en) 2009-03-10
EP1295331A2 (en) 2003-03-26
US6620723B1 (en) 2003-09-16
US20070197028A1 (en) 2007-08-23
US6831004B2 (en) 2004-12-14
US20070197027A1 (en) 2007-08-23
JP5210482B2 (ja) 2013-06-12
US7208413B2 (en) 2007-04-24
WO2002001628A2 (en) 2002-01-03
KR20030017565A (ko) 2003-03-03
US7501343B2 (en) 2009-03-10
US20040018723A1 (en) 2004-01-29
JP2004502301A (ja) 2004-01-22

Similar Documents

Publication Publication Date Title
CN1443366A (zh) 利用化学吸附技术形成硼化物阻挡层
KR102646467B1 (ko) 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
TWI737859B (zh) 金屬表面上之氧化鋁的選擇性沉積
CN100524692C (zh) 在衬底上形成金属互连的方法
US7247581B2 (en) Methods for treating pluralities of discrete semiconductor substrates
KR101013231B1 (ko) 환원펄스를 이용한 원자층증착에 의한 질화금속증착
JP4965782B2 (ja) 遷移金属炭化物の堆積
CN102082087B (zh) 包括含碳电极的半导体器件及其制造方法
TWI385730B (zh) 銅金屬化用之具有變化組成的阻障層之製造方法
JP5109299B2 (ja) 成膜方法
JP2007211326A (ja) 成膜装置および成膜方法
KR20040012943A (ko) 순차적인 증착 기술을 이용하여 복합 박막 적층을형성하는 시스템 및 방법
JPH11238698A (ja) 原子層蒸着工程を用いた金属層形成方法
CN1671883A (zh) 铜膜沉积方法
CN1319146C (zh) 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
JP4746234B2 (ja) 感受性表面上にナノラミネート薄膜を堆積するための方法
WO2022108908A1 (en) Low resistance pulsed cvd tungsten
CN113437050B (zh) 电容器的制造方法
KR102549555B1 (ko) 공정 챔버용 부품 및 보호막 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication