CN1384972A - 使用超临界二氧化碳法从衬底上去除光刻胶及残渣 - Google Patents

使用超临界二氧化碳法从衬底上去除光刻胶及残渣 Download PDF

Info

Publication number
CN1384972A
CN1384972A CN00815082A CN00815082A CN1384972A CN 1384972 A CN1384972 A CN 1384972A CN 00815082 A CN00815082 A CN 00815082A CN 00815082 A CN00815082 A CN 00815082A CN 1384972 A CN1384972 A CN 1384972A
Authority
CN
China
Prior art keywords
photoresist
residue
substrate
solvent
amine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00815082A
Other languages
English (en)
Other versions
CN1171288C (zh
Inventor
W·H·穆勒
M·A·比伯格
P·E·施林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1384972A publication Critical patent/CN1384972A/zh
Application granted granted Critical
Publication of CN1171288C publication Critical patent/CN1171288C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Abstract

一种从衬底上去除光刻胶和残渣的方法,它起始于保持超临界二氧化碳、胺和溶剂与所述衬底接触,使得所述胺和溶剂至少部分溶解光刻胶和残渣。优选地,所述胺是叔胺。优选地,所述溶剂选自DMSO、EC、NMP、乙酰基丙酮、BLO、醋酸、DMAC、PC和它们的混合物。然后,把光刻胶和残渣从衬底附近去除。优选地,该方法继续冲洗步骤,其中,衬底在超临界二氧化碳和冲洗剂中冲洗。优选地,冲洗剂选自水、醇、二者的混合物以及丙酮。在一种供选择的实施方案中,胺和溶剂用含水氟化物代替。

Description

使用超临界二氧化碳法从衬底上去除光刻胶及残渣
相关申请
本申请是1999年9月3日提出的共同未决美国申请No.09/389,788的部分继续申请,后者是1998年5月27日提出的共同未决美国申请No.09/085,391的继续申请,后者要求1997年5月27日提出的美国临时申请No.601047,739的优先权,所有这些引入本文做为参考。
本申请也要求1999年11月2日提出的美国临时专利申请No.60/163,116、1999年11月2日提出的美国临时专利申请No.60/163,120和2000年4月25日提出的美国临时专利申请No.60/199,661的优先权,所有这些引入本文做为参考。
发明领域
本发明涉及从衬底上去除光刻胶及残渣的领域。更具体地,本发明涉及使用超临界二氧化碳从衬底上去除光刻胶及残渣的领域。
发明背景
半导体制造在离子注入、蚀刻和其它加工步骤中使用光刻胶。在离子注入步骤中,光刻胶遮蔽不注入掺杂剂的半导体衬底的区域。在蚀刻步骤中,光刻胶遮蔽不被蚀刻的半导体衬底的区域。其它加工步骤的实例包括使用光刻胶作为被加工晶片的覆盖防护涂层或者MEMS(微机电系统)装置的覆盖防护涂层。
在离子注入步骤后,光刻胶呈现为覆盖胶状核心的坚硬外壳。坚硬外壳导致光刻胶去除困难。
在蚀刻步骤后,残留的光刻胶呈现为硬化的特性,这导致光刻胶去除困难。在蚀刻步骤后,与蚀刻残渣混合的光刻胶残渣覆盖蚀刻部件(feature)的侧壁。取决于蚀刻步骤的种类和所蚀刻的材料,与蚀刻残渣混合的光刻胶残渣存在复杂的去除问题,因为与蚀刻残渣混合的光刻胶残渣通常强结合到蚀刻部件的侧壁上。
典型地,在现有技术中,光刻胶和光刻胶残渣通过在O2等离子体中等离子灰化,然后在剥膜液(stripper bath)中剥落来去除。
图1表示在离子注入后且在光刻胶去除前的n-p-n FET(场效应晶体管)结构10。n-p-n结构10包括具有把n-p-n结构10与相邻的电子器件隔离的隔离槽18的源区12、栅区14、漏区16。第一种光刻胶20遮蔽除了源区和漏区12和16以外的全部区域。在离子注入过程中,高能离子源向源区和漏区12和16中注入n型掺杂剂。高能离子源也使第一种光刻胶20暴露于n型掺杂剂,这在第一种光刻胶20的上表面22上产生硬壳。在现有技术中,第一种光刻胶20通过现有技术的等离子灰化和剥膜液去除。
图2表示在RIE(活性离子蚀刻)蚀刻后且在光刻胶和残渣去除之前的现有技术的第一种通孔结构30。第一种通孔结构30包括通孔32,它在第一个SiO2层34中蚀刻,到达第一个TiN层36。在第一种通孔结构30中,通孔32在第一个TiN层36停止,因为第一个TiN层36为第一个SiO2层34的RIE蚀刻提供一个蚀刻阻挡。通过第一个TiN层36的蚀刻使得RIE蚀刻变复杂,因为对于第一种TiN层36,要求另外的蚀刻化学成分;所以对于这种特定的蚀刻,TiN层36不被蚀刻。第一个TiN层36位于第一个Al层38上,后者位于第一个Ti层40上。包含与SiO2蚀刻残渣44混合的光刻胶残渣42的第一种残渣覆盖通孔32的侧壁46。第二种光刻胶48残留在第一个SiO2层34的暴露表面50上。在现有技术中,使用现有技术的等离子灰化和剥膜液去除第二种光刻胶48、光刻胶残渣42和SiO2蚀刻残渣44。
注意,相对于第一种通孔结构30和本文讨论的其它薄膜结构所描述的特定层材料和特定结构是说明性的。在半导体制造中经常使用许多其它层材料和其它结构。
图3表示在RIE蚀刻后且在光刻胶和残渣去除前的现有技术的第二种通孔结构60。第二种通孔结构60包括第二种通孔62,它被蚀刻通过第一个SiO2层34和第一个TiN层36到达第一个Al层38。通过第一种TiN层36的蚀刻,改善了器件性能,因为与第一个Al层38的接触电阻低于与第一个TiN层36的接触电阻。第二种通孔60还包括第一个Ti层40。包含与SiO2蚀刻残渣44混合的光刻胶残渣42的第一种残渣覆盖第二种通孔62的第二种侧壁64。包含与TiN蚀刻残渣66混合的光刻胶残渣42的第二种残渣覆盖第一种残渣。第二种光刻胶48残留在第一个SiO2层34的暴露表面50上。在现有技术中,使用现有技术的等离子灰化和剥膜液去除第二种光刻胶48、光刻胶残渣42、SiO2蚀刻残渣44和TiN蚀刻残渣66。
注意,第一种残渣(图2和3)和第二种残渣(图3)是最糟的情况。根据特定的蚀刻方法,第一种残渣或第二种残渣可能不存在。
图4表示在金属RIE蚀刻后且在残渣去除前的金属线结构70。金属线结构70包括在第二个Al层74上的第二个TiN层72、第二个Al层74在第二个Ti层76上。第二个TiN层72、第二个Al层74和第二个Ti层76形成金属线。第二个Ti层76接触W通孔78,W通孔78又与第一个Al层38接触。W通孔78通过侧壁阻挡层80与第一个SiO2层34分开。包含与金属蚀刻残渣84混合的卤素残渣82的第三种残渣位于第一个SiO2层34的暴露表面50上。包含卤素82和金属蚀刻残渣84的第三种残渣还位于第二个TiN层72的暴露表面86上。包含光刻胶残渣42与金属蚀刻残渣84的混合物的第四种残渣覆盖金属线的侧面88。第四种残渣的边缘90延伸到第二种TiN层72的第二个暴露表面86之上。在现有技术中,使用现有技术的等离子灰化和剥膜液去除光刻胶42、卤素残渣82和金属蚀刻残渣84。
图5表示双波纹(damascene)RIE蚀刻之后且在光刻胶和光刻胶残渣去除之前现有技术的双波纹结构100。双波纹结构100包括在双波纹通孔104上方形成的双波纹线102。通过第二个SiO2层106和第一个SiN层108蚀刻双波纹通孔102。通过第三个SiO2层110和第二个SiN层112蚀刻双波纹通孔104。双波纹通孔蚀刻到底层Cu层114。
在光刻胶和残渣去除后的处理中,双波纹线和通孔102和104的暴露表面被阻挡层覆盖,然后用Cu填充双波纹线和通孔102和104。
回到图5,包含与SiO2蚀刻残渣44混合的光刻胶残渣42的第五种残渣覆盖线侧壁116和通孔侧壁118。包含与SiN蚀刻残渣120混合的光刻胶残渣42的第六种残渣覆盖第五种残渣。包含与Cu蚀刻残渣122混合的光刻胶残渣42的第七种残渣覆盖第六种残渣。光刻胶48残留在第二种SiO2层106的第二个暴露表面上。在现有技术中,使用现有技术的等离子灰化和剥膜液去除光刻胶48、光刻胶残渣42、SiO2蚀刻残渣44、SiN蚀刻残渣120和Cu蚀刻残渣122。
注意,第五种、第六种和第七种残渣是最糟的情况。取决于特定的蚀刻方法,第五种、第六种或第七种残渣可能不存在。
近来半导体技术的发展已经导致提出用低介电常数材料代替双波纹结构100的第二个和第三个介电层106和110。用低介电常数材料代替第二个和第三个介电层106和110提高电子器件的速度。目前开发的低介电常数材料的成果已经产生了第一类和第二类低介电常数材料。第一类低介电常数材料是C-SiO2材料,其中,C(碳)降低SiO2的介电常数。第二类介电材料是旋压(spin-on)聚合物,它是高度交联的聚合物,特别设计以提供低介电常数。旋压聚合物的实例是DowChemical的SILK。SILK是Dow Chemical的注册商标。
通孔和线的几何形状正在向更小的尺寸和更大的深度宽度比发展。由于通孔和线的几何形状向更小的尺寸和更大的深度宽度比发展,现有技术的等离子灰化和剥膜液在去除光刻胶和光刻胶残渣方面正变得效果更差。另外,用低介电常数材料代替SiO2提高了连续使用等离子灰化的难度。对于C-SiO2材料,O2等离子体侵蚀C。对于C-SiO2材料,O2等离子体可以用H2等离子体代替,但是这降低了等离子灰化的总体效果。对于旋压聚合物,特别是Dow Chemical的SILK,等离子灰化对于去除光刻胶或光刻胶残渣不是可行的方法,因为等离子灰化侵蚀旋压聚合物。
所需要的是一种去除光刻胶的更有效的方法。
所需要的是一种去除残渣的更有效的方法。
所需要的是一种去除光刻胶的更有效的方法。
所需要的是一种去除残渣的更有效的方法。
所需要的是一种从衬底上去除光刻胶的方法,其中,通孔和线的几何结构的尺寸小。
所需要的是一种从衬底上去除残渣的方法,其中,通孔和线的几何结构的尺寸小。
所需要的是一种从衬底上去除光刻胶的方法,其中,通孔和线的几何结构的深度宽度比大。
所需要的是一种从衬底上去除残渣的方法,其中,通孔和线的几何结构的深度宽度比大。
所需要的是一种从衬底上去除光刻胶的方法,其中,在C-SiO2低介电常数材料上蚀刻部件。
所需要的是一种从衬底上去除残渣的方法,其中,在C-SiO2低介电常数材料上蚀刻部件。
所需要的是一种从衬底上去除光刻胶的方法,其中,在旋压聚合物低介电常数材料上蚀刻部件。
所需要的是一种从衬底上去除残渣的方法,其中,在旋压聚合物低介电常数材料上蚀刻部件。
发明概述
本发明是一种从衬底上去除光刻胶和残渣的方法。典型地,在前面的半导体加工步骤如离子注入或蚀刻之后,光刻胶、或光刻胶和残渣、或残渣残留在衬底上。该方法首先保持超临界二氧化碳、胺和溶剂与衬底接触,以便使胺和溶剂至少部分溶解光刻胶和残渣。
优选地,所述胺是仲胺或叔胺。较优选地,所述胺是叔胺。更优选地,所述胺选自2-(甲氨基)乙醇、PMDETA、三乙醇胺、三乙胺,和它们的混合物。最优选地,所述胺选自2-(甲氨基)乙醇、PMDETA、三乙醇胺,和它们的混合物。优选地,所述溶剂选自DMSO、EC、NMP、乙酰丙酮、BLO、乙酸、DMAC、PC和它们的混合物。
然后,从衬底附近去除光刻胶和残渣。优选地,该方法用冲洗步骤继续,其中,衬底用超临界二氧化碳和冲洗剂冲洗。优选地,冲洗剂选自水、醇、酮和它们的混合物。更优选地,冲洗剂是醇和水的混合物。优选地,所述醇选自异丙醇、乙醇和其它低分子量醇。更优选地,所述醇是乙醇。
在第一种供选择的实施方案中,胺和溶剂用含水氟化物取代。在第二种供选择的实施方案中,向第一种供选择的实施方案的含水氟化物中加入溶剂。在第三种供选择的实施方案中,向第二种供选择的实施方案的含水氟化物和溶剂中加入胺。
附图简述
图1表示在离子注入后且在光刻胶去除之前现有技术的n-p-n结构。
图2表示在RIE蚀刻后且在光刻胶和残渣去除之前现有技术的第一种通孔结构。
图3表示在RIE蚀刻后且在光刻胶和残渣去除之前现有技术的第二种通孔结构。
图4表示在RIE蚀刻后且在残渣去除之前现有技术的金属线结构。
图5表示在RIE蚀刻后且在光刻胶和残渣去除之前现有技术的双波纹结构。
图6是表示本发明优选方法的步骤的流程图。
图7表示本发明的优选的加工系统。
图8表示本发明的优选的时间线。
优选的实施方案详述
本发明是一种使用超临界二氧化碳从衬底上去除光刻胶和残渣的方法。该残渣包括光刻胶残渣和蚀刻残渣。衬底一般是半导体晶片。另外,衬底是非晶片衬底如橡胶圆盘(puck)。光刻胶通常放在晶片上,以便在前面的半导体制备加工步骤中遮蔽一部分晶片。这些前面的加工步骤包括离子注入和蚀刻步骤。
在离子注入步骤中,光刻胶遮蔽不注入掺杂剂的晶片区域,同时使掺杂剂注入未屏蔽区域的晶片中。离子注入步骤在光刻胶上形成硬化的外壳,在硬化的外壳下留下胶冻状内核。
在蚀刻步骤中,光刻胶遮蔽不蚀刻的晶片区域,同时蚀刻未遮蔽的区域。在蚀刻步骤中,光刻胶和晶片被蚀刻,产生蚀刻部件,同时还产生光刻胶残渣和蚀刻残渣。光刻胶的蚀刻产生光刻胶残渣。蚀刻部件的蚀刻产生蚀刻残渣。光刻胶和蚀刻残渣一般覆盖蚀刻部件的侧壁。
在某些蚀刻步骤中,光刻胶不被完全蚀刻,所以一部分光刻胶残留在蚀刻步骤后的晶片上。在这些蚀刻步骤中,蚀刻过程硬化残留的光刻胶。在其它蚀刻步骤中,光刻胶被完全蚀刻,所以,在这样的蚀刻步骤中,没有光刻胶残留在晶片上。在后一种情况下,只有残渣残留在晶片上,这种残渣是光刻胶残渣和蚀刻残渣。
本发明优选的是涉及为25微米和更小的几何形状去除光刻胶。换言之,本发明优选的是涉及去除I线暴露的光刻胶和更小的波长暴露的光刻胶。这些是UV、深UV、和更小几何尺寸的光刻胶。另外,本发明涉及去除更大尺寸的光刻胶。
熟悉本领域的技术人员容易理解,虽然本发明用去除光刻胶和残渣来描述,它可以同样应用于去除光刻胶和残渣,或者仅去除光刻胶,或者仅去除残渣。
本发明的优选的实施方案是用超临界二氧化碳、胺和溶剂从晶片上去除光刻胶和残渣。优选地,胺选自仲胺和叔胺。较优选地,胺是叔胺。更优选地,胺选自2-(甲氨基)乙醇、PMDETA(五甲基二亚乙基三胺)、三乙醇胺、三乙胺和它们的混合物。最优选地,胺选自2-(甲氨基)乙醇、PMDETA、三乙醇胺和它们的混合物。优选地,溶剂选自DMSO(二甲基亚砜)、EC(碳酸亚乙酯)、NMP(N-甲基-2-吡咯烷酮)、乙酰基丙酮、BLO(丁内酯)、醋酸、DMAC(N,N’-二甲基乙酰胺)、PC(碳酸异丙烯酯)、和它们的混合物。更优选地,溶剂选自DMSO、EC、NMP、乙酰基丙酮、BLO、冰醋酸、和它们的混合物。
本发明的优选的方法用图6中的框图说明。优选的方法200首先在第一个工艺步骤202中把晶片放置在压力室内并密封压力室,晶片上有光刻胶和残渣。在第二个工艺步骤204中,用二氧化碳对压力室加压,直到二氧化碳变为超临界二氧化碳(SCCO2)。在第三个步骤206中,超临界二氧化碳携带胺和溶剂进入处理室中。在第四个工艺步骤208中,保持超临界二氧化碳、胺和溶剂与晶片接触,直到从晶片上去除光刻胶和残渣。在第四个工艺步骤208中,胺和溶剂至少部分溶解光刻胶和残渣。在第五个工艺步骤210中,压力室部分排气。在第六个工艺步骤212中,冲洗晶片。在第七个工艺步骤214中,优选的方法200结束于使压力室减压并取出晶片。
本发明的优选的超临界处理系统在图7中表示。优选的超临界处理系统220包括二氧化碳供给容器222、二氧化碳泵224、压力室226、化学试剂供给容器228、循环泵230和废气收集容器234。二氧化碳供给容器222通过二氧化碳泵224和二氧化碳管道236连接到压力室226。二氧化碳管道236包括位于二氧化碳泵224和压力室226之间的二氧化碳加热器238。压力室226包括压力室加热器240。循环泵230位于循环管道242上,后者在循环入口244和循环出口246处连接到压力室226。化学试剂供给容器228通过化学试剂供给管道248连接到循环管道242,化学试剂供给管道248包括第一个注入泵249。冲洗剂供给容器250通过冲洗剂供给管道252连接到循环管道242,冲洗剂供给管道252包括第二个注入泵253。废气收集容器234通过废气管道254连接到压力室226。本领域技术人员容易理解,优选的超临界处理系统220包括阀门、控制电子装置、过滤器、和设备连接装置,这些在超临界流体处理系统中是典型的。
本领域技术人员容易理解,另外的化学试剂供给容器可以连接到第一个注入泵249或者另外的化学试剂供应容器和另外的化学试剂注入泵可以连接到循环管道242中。
参考图6和7,优选的方法200的实施开始于第一个工艺步骤202,其中,将带有光刻胶或残渣或者光刻胶和残渣的晶片放在压力室226的晶片腔256内,然后密封压力室226。在第二个工艺步骤204中,用来自二氧化碳供给容器222的二氧化碳通过二氧化碳泵224对压力室226加压。在第二个步骤204过程中,通过二氧化碳加热器238加热二氧化碳,同时由压力室加热器240加热压力室,以保证压力室226内的二氧化碳的温度高于临界温度。二氧化碳的临界温度为31℃。优选地,压力室226内的二氧化碳的温度为45℃-75℃。另外,压力室226内的二氧化碳的温度保持在31℃-约100℃。
在达到初始超临界条件时,第一个注入泵249把胺和溶剂从化学试剂供给容器228中通过循环管道242送入压力室226中,同时,二氧化碳泵在第三个工艺步骤206中进一步加压超临界二氧化碳。一旦已经向压力室226中送入要求的胺和溶剂量并达到要求的超临界条件,二氧化碳泵224停止对压力室226加压,第一个注入泵249停止向压力室226送入胺和溶剂,且循环泵230在第四个步骤208中开始使超临界二氧化碳、胺和溶剂循环。通过使超临界二氧化碳、胺和溶剂循环,超临界二氧化碳保持胺和溶剂与晶片接触。另外,通过使超临界二氧化碳、胺和溶剂循环,流体流动增强了晶片上光刻胶和残渣的去除。
优选地,在第四个工艺步骤208中,晶片在压力室226中保持静止。另外,在第四个工艺步骤208过程中,晶片在压力室226内旋转。
在已经从晶片上去除光刻胶和残渣后,通过把部分超临界二氧化碳、胺、溶剂、去除的光刻胶和去除的残渣排放到废气收集容器234中,使压力室226部分卸压,以便在第五个工艺步骤210中把压力室226中的条件恢复到接近初始超临界条件。
在第六个工艺步骤212中,第二个注入泵253把冲洗剂从冲洗剂供给容器250通过循环管道送入压力室226中,同时,二氧化碳泵224把压力室226加压到接近要求的超临界条件,然后,循环泵230使超临界二氧化碳和冲洗剂循环,以冲洗晶片。优选地,冲洗剂选自水、醇、丙酮和它们的混合物,更优选地,冲洗剂是醇和水的混合物。优选地,所述醇选自异丙醇、乙醇和其它低分子量醇。更优选地,所述醇选自异丙醇和乙醇。最优选地,所述醇是乙醇。
优选地,在第六个工艺步骤212过程中,晶片在压力室226中保持静止。另外,在第六个工艺步骤212过程中,晶片在压力室226内旋转。
在第七个工艺步骤214中,通过排放压力室226到废气收集容器234中使压力室226卸压,最后从压力室226中取出晶片。
本发明的优选的时间线图解表示在图8中。优选的时间线260表示优选的方法200与时间的函数关系,还表示压力262与时间的函数关系。本领域技术人员容易理解,图8中的时间轴仅是说明性的,并不表示按刻度表示相对的时间周期。当然,理想的是为了获得经济有效的处理方法,应该使所有的时间最短。
在初始时间t0之前,在第一个工艺步骤202中,把晶片放在压力室226内并密封压力室。从初始时间t0通过第一时间t1到第二时间t2,在第二个步骤204中对压力室226加压。在第一时间t1,压力室达到临界压力Pc。超临界二氧化碳的临界压力Pc为1,070psi。优选地,在第三个工艺步骤206中,在第一时间t1和第二时间t2之间,把胺和溶剂注入压力室226。优选地,在达到约1100-1200psi时,开始胺和溶剂的注入。另外,在第二时间t2附近或在第二时间t2之后,把胺和溶剂注入压力室中。压力室在第二时间t2达到操作压力Pop。优选地,操作压力Pop约为2,800psi。供选择地,操作压力Pop为1,070psi-约6,000psi。
优选的时间线260在第四个步骤208中继续进行,保持超临界二氧化碳、胺和溶剂与晶片接触,直至从晶片上去除光刻胶和残渣,这在第二时间t2到第三时间t3之间进行。在第五个步骤210中,压力室226在第三时间t3到第四时间t4部分排气。优选地,通过使压力在第一次排气过程中从操作压力Pop降低到1,100-1,200psi,在第一次加压再填充过程中从1,100-1,200psi升高到操作压力Pop,在第二次排气过程中再降低到1,100-1,200psi可以做到这一点。另外,加压再填充和第二次排气不作为第五个工艺步骤210的一部分来进行。另外,附加的再充填和排气作为第五个工艺步骤210的一部分进行,其中,一次或多次排气可以是完全排气。
优选的时间线260在第六个步骤212继续进行,从第四时间t4经过第五时间t5到第六时间t6冲洗晶片。第六个工艺步骤212起始于第二次加压再充填,在该过程中,冲洗剂优选的是从第四时间t4到第五时间t5注入压力室226中。在第七个工艺步骤214中,压力室226从第六时间t6到第七时间t7排气。优选地,这通过下列过程完成,即在第三次排气中,使操作压力降低到约1,100-1,200psi,在第三次加压再充填中从1,100-1,200psi升高到操作压力Pop,在最终的排气中最终降低到大气压。另外,第三次排气和第三次加压再充填不作为第七个工艺步骤214的一部分进行。另外,附加的排气和加压再充填作为第七个工艺步骤210的部分进行。
本发明的第一种供选择的实施方案向优选的实施方案中加入含水氟化物。在第一种供选择的实施方案中,超临界二氧化碳、胺、溶剂和含水氟化物去除光刻胶和残渣。优选地,含水氟化物选自氟化物碱和氟化物酸。更优选地,含水氟化物选自含水氟化铵(含水NH4F)和含水氢氟酸(HF)。
在从二氧化硅(SiO2)表面去除至少部分光刻胶或部分残渣时,第一种供选择的实施方案是有用的。含水氟化物通过略微蚀刻SiO2表面从光刻胶和残渣掏蚀SiO2表面。虽然含水氟化物从晶片的SiO2表面去除光刻胶和残渣是有用的,但是当晶片包含暴露的铝层时,不能使用含水氟化物。这是因为含水氟化物迅速蚀刻暴露的铝层。
本发明的第二个供选择的实施方案向第一种选择的实施方案中加入附加的水。附加的水增强第一个实施方案的效果,因为光刻胶是亲水的,而SiO2表面是疏水的。因此,附加的水把光刻胶与SiO2表面分离。
本发明的第三种供选择的实施方案使用超临界二氧化碳和含水氟化物除去光刻胶和残渣。在第三种供选择的实施方案中,不使用胺并且不使用溶剂。
本发明的第四种供选择的实施方案向超临界二氧化碳和含水氟化物中加入附加的水。
本发明的第五种供选择的实施方案向第三种供选择的实施方案中加入溶剂。
在第一种供选择的时间线中,第四个工艺步骤208在初始的清洗压力和最终的清洗压力下进行。优选地,初始清洗压力约为1,100-1,200psi,最终清洗压力约为2,800psi。在初始压力下,某些化学物质的第一溶解度小于在最终清洗压力下的第二溶解度。在初始清洗压力下进行的初始清洗阶段中,较低溶解度的化学物质凝结在晶片上。这在光刻胶和残渣上提供了较大浓度的低溶解度化学物质,因此,增强了光刻胶和残渣与晶片的分离。在最终清洗压力下进行的最终清洗阶段中,低溶解度化学物质不再凝结或者较少凝结在晶片上,因此在预计完成第四工艺步骤208时降低了晶片上低溶解度化学物质的浓度。
在本发明的第二种供选择的时间线中,在进行第一次冲洗之后,进行第二次冲洗。
具体实施方案
本发明的第一种到第七种特定实施方案讨论如下。第一种到第七种实施方案的每一个是在实验室系统中使用的具体化学物质和具体方法的概述,类似于优选的超临界处理系统220。该实验室系统用于从试验晶片上去除光刻胶,或者去除光刻胶和残渣,或者去除残渣。实验室系统的特征是压力室226、循环泵230和循环管道242的总内部体积约为1.8升。作为概念验证可行性研究的一部分的第一种到第七种具体实施方案用来表示本发明用于半导体制造的可行性。在半导体制造中引入本发明之前,可以想象,可以进行进一步的工艺改进。
第一种具体实施方案
在第一种具体实施方案中,在前面的通孔蚀刻步骤中形成的SiO2通孔结构中进行去除,其中所述蚀刻步骤在到达铝蚀刻终点时结束。所用的具体化学物质如下:2毫升的2-甲基氨基乙醇(胺)、20毫升DMSO(溶剂的第一种成分)、和20毫升的EC(溶剂的第二种成分)。压力室保持在50℃。胺和溶剂在2,800psi循环5分钟。在去除与冲洗步骤之间使用两次部分排气和一次完全排气,其中,对于部分排气,压力从2,700psi降低到1,100psi,对于完全排气,压力从2,700psi降低到大气压。冲洗步骤的冲洗剂为56毫升丙酮。冲洗剂和超临界二氧化碳循环5分钟。在进行冲洗步骤之后的完全排气之前,进行一次部分排气。
在第一种具体实施方案中去除光刻胶和残渣之后,拍摄第一种SEM照片。第一种SEM照片表明了在第一种具体实施方案中去除光刻胶和残渣。
第二种具体实施方案
在第二种具体实施方案中,从前面的金属线蚀刻步骤中形成的金属线结构中去除残渣,包括光刻胶残渣和蚀刻残渣,其中,所述蚀刻步骤在达到氧化物蚀刻终点时结束。(根据Lucent Technologies提供第二种具体实施方案的试验晶片)。所用的具体化学物质如下:1.5毫升PMDETA(胺)、7.5毫升NMP(溶剂的第一种成分)和6毫升乙酰基丙酮(溶剂的第二种成分)。压力室保持在50℃。胺和溶剂在2,800psi循环2分钟。在去除和冲洗步骤之间使用一次部分排气和一次完全排气。冲洗步骤的冲洗剂为20毫升按体积计80%乙醇和20%水的混合物。冲洗剂和超临界二氧化碳循环1分钟。在冲洗步骤后进行完全排气。
在第二种具体实施方案中去除残渣之前拍摄第二种SEM照片。第二种SEM照片表明了在金属线侧壁上的残渣,表明了残渣突出到金属线之上的裙边,并且表明了在金属线顶部残留的残渣。在第二种具体实施方案中去除残渣之后拍摄第三种和第四种SEM照片。第三种和第四种SEM照片表明,在第二个具体实施方案中残渣被去除。
第三种具体实施方案
在第三种具体实施方案中,从中等剂量离子注入之后的晶片上去除光刻胶。所用的具体化学物质如下:0.15毫升的24体积%的含水氟化铵(含水氟化物)、20毫升BLO(溶剂的第一种成分)、20毫升DMSO(溶剂的第二种成分)、0.15毫升冰醋酸(溶剂的第三种成分)、和1毫升附加的水。压力室保持在70℃。含水氟化物和溶剂在1,250psi循环2分钟,然后,将压力室加压到2,800psi。在去除和冲洗步骤之间采用两次部分排气和一次完全排气,其中,对于部分排气,压力在2,700psi下降低到1,100psi,对于完全排气,压力从2,700psi降低到大气压。冲洗步骤用的冲洗剂为20毫升的80%乙醇和20%水的混合物。冲洗剂和超临界二氧化碳循环1分钟。在进行冲洗步骤后的完全排气之前,进行一次部分排气。
之前和之后的XPS(x射线光电子能谱法)试验表明,在第三种具体实施方案中去除了光刻胶。
第四种具体实施方案
在第四种具体实施方案中,从高剂量离子注入后的晶片上去除光刻胶。所用的具体化学物质如下:0.22毫升24体积%的含水氟化铵(含水氟化物)、20毫升DMSO(溶剂的第一种成分)、20毫升的EC(溶剂的第二种成分)和2毫升附加的水。压力室保持在70℃。含水氟化物和溶剂在2,800psi下循环2分钟。在去除和冲洗步骤之间采用两次部分排气和一次完全排气,其中,对于部分排气,压力从2,700psi降低到1,100psi,在完全排气中,压力从2,700psi降低到大气压。冲洗步骤用的冲洗剂为20毫升80%乙醇和20%水的混合物。冲洗剂和超临界二氧化碳循环1分钟。在进行冲洗步骤后的完全排气之前,进行一次部分排气。
之前和之后的XPS试验表明,在第四种具体实施方案中去除了光刻胶。
第五种具体实施方案
在第五种具体实施方案中,从前面的通孔蚀刻步骤中形成的SiO2通孔结构去除光刻胶,其中蚀刻步骤在到达TiN蚀刻终点时结束。所用的具体化学物质如下:0.15毫升24体积%的含水氟化铵(含水氟化物)和8毫升附加的水。压力室保持在50℃。含水氟化物和附加的水在1,500psi循环2分钟。在去除步骤和第一次冲洗步骤之间采用两次部分排气和一次完全排气,其中,对于部分排气,压力从1,500psi降低到1,050psi,在完全排气中,压力从1,500降低到大气压。第一个冲洗步骤中的冲洗剂为12毫升水。在第一个冲洗步骤中,冲洗剂和超临界二氧化碳在1,500psi循环1分钟,随后使压力升高到2,800psi。在第一次冲洗步骤和第二次冲洗步骤之间进行两次部分排气和一次完全排气,其中,对于部分排气,压力从2,800psi降低到1,100psi,在完全排气中,压力从2,800psi降低到大气压。第二次冲洗的冲洗剂为20毫升甲醇。在第二次冲洗步骤中,冲洗剂和超临界二氧化碳在2,800psi循环1分钟。在进行第二次冲洗步骤后的完全排气之前,进行一次部分排气。
在第五种具体实施方案中的去除光刻胶之前,拍摄第五种SEM照片。第五种SEM照片表明了在SiO2通孔结构和通孔底部的TiN蚀刻终点之上的光刻胶。在第五种具体实施方案中除去光刻胶之后,拍摄第六种SEM照片。第六种SEM照片表明在第五种具体实施方案中去除了光刻胶。
第六种具体实施方案
在第六种具体实施方案中,从在前面的通孔蚀刻步骤中形成的SiO2通孔结构去除光刻胶。所用的具体化学物质如下:1.5毫升24体积%的含水氟化铵(含水氟化物)和8毫升DMSO(溶剂)和4毫升附加的水。压力室保持在50℃。含水氟化物、溶剂和附加的水在2,800psi循环2分钟。在去除步骤和冲洗步骤之间采用一次部分排气和一次完全排气。冲洗剂为20毫升80%乙醇和20%水的混合物。冲洗剂和超临界二氧化碳在2,700psi循环1分钟。在进行冲洗步骤后的完全排气之前,进行一次部分排气。
在第六种具体实施方案中的去除光刻胶之后,拍摄第七种SEM照片。第七种SEM照片表明,在第六种具体实施方案中去除了光刻胶。
第七种具体实施方案
在第七种具体实施方案中,从前面的通孔蚀刻步骤中形成的C-SiO2波纹结构中去除光刻胶和残渣。所用的具体化学物质如下:0.15毫升24体积%的含水氟化铵(含水氟化物)、20毫升BLO(溶剂的第一种成分)、20毫升DMSO(溶剂的第二种成分)、0.15毫升冰醋酸(溶剂的第三种成分)和1毫升附加的水。压力室保持在70℃。含水氟化物、溶剂和附加的水在2,800psi循环2分钟。在去除步骤和冲洗步骤之间采用两次部分排气和一次完全排气。冲洗步骤用的冲洗剂为20毫升50%乙醇和50%水。冲洗剂和超临界二氧化碳在2,700psi循环1分钟。在进行冲洗步骤后的完全排气之前,进行一次部分排气。
在第七种具体实施方案中的光刻胶和残渣去除之后,拍摄第八种SEM照片。第八种SEM照片表明,在第七种具体实施方案中,去除了光刻胶和残渣。
本领域技术人员容易理解,可以对优选的实施方案进行其它各种改进而不脱离由所附权利要求所限定的本发明的实质和范围。

Claims (35)

1.一种处理衬底的方法,它包括下列步骤:
a.保持超临界二氧化碳和含水氟化物与衬底接触,所述衬底具有承载选自光刻胶、光刻胶残渣、蚀刻残渣和它们的组合的材料的二氧化硅表面,使得含水氟化物从所述材料掏蚀二氧化硅表面,从而使所述材料变成被掏蚀的材料;
b.保持水和超临界二氧化碳与被掏蚀的材料接触,使得被掏蚀的材料与二氧化硅表面分离,从而使被掏蚀的材料变成被分离的材料;和
c.从衬底附近去除被分离的材料。
2.权利要求1的方法,其中,含水氟化物选自含水氟化铵、氢氟酸和它们的混合物。
3.权利要求2的方法,其中,所述含水氟化物是含水氟化铵。
4.权利要求1的方法,其中,从衬底附近去除被分离的材料的步骤包括使超临界二氧化碳流过所述衬底。
5.权利要求1的方法,它还包括使用溶剂至少部分溶解被掏蚀材料的步骤。
6.权利要求5的方法,其中,所述溶剂选自BLO、DMSO、醋酸、EC、DMAC、NMP和它们的混合物。
7.权利要求6的方法,其中,所述溶剂选自BLO、DMSO、醋酸、EC和它们的混合物。
8.权利要求7的方法,其中,所述溶剂是BLO。
9.权利要求1的方法,它还包括使用溶剂至少部分溶解被分离材料的步骤。
10.权利要求9的方法,其中,所述溶剂选自BLO、DMSO、醋酸、EC、DMAC、NMP和它们的混合物。
11.权利要求10的方法,其中,所述溶剂选自BLO、DMSO、醋酸、EC和它们的混合物。
12.权利要求11的方法,其中,所述溶剂是BLO。
13.权利要求1的方法,它还包括用超临界二氧化碳和冲洗剂冲洗衬底的步骤。
14.权力要求13的方法,其中,所述冲洗剂包含水。
15.权利要求13的方法,其中,所述冲洗剂包含醇。
16.权利要求15的方法,其中,所述醇包括乙醇。
17.权利要求13的方法,其中,所述冲洗剂包含丙酮。
18.权利要求1的方法,其中,所述衬底包括低介电常数材料。
19.权利要求18的方法,其中,所述低介电常数材料包括旋压聚合物。
20.权利要求18的方法,其中,所述低介电常数材料包括C-SiO2材料。
21.一种从二氧化硅表面去除材料的方法,所述材料选自光刻胶、光刻胶残渣、蚀刻残渣和它们的组合物,该方法包括下列步骤:
a.保持超临界二氧化碳和含水氟化物与所述材料和二氧化硅表面接触,使得含水氟化物从所述材料掏蚀二氧化硅表面;
b.保持水和超临界二氧化碳与所述材料接触,使得所述材料从二氧化硅表面分离;和
c.从二氧化硅表面附近去除所述材料。
22.一种处理衬底的方法,它包括下列步骤:
a.保持超临界二氧化碳、胺和溶剂与衬底表面上的材料接触,所述材料选自光刻胶、光刻胶残渣、蚀刻残渣和它们的混合物,使得所述胺和所述溶剂至少部分溶解所述材料;和
b.从所述衬底附近去除所述材料。
23.权利要求2 2的方法,其中,所述胺包括仲胺。
24.权利要求2 2的方法,其中,所述胺包括叔胺。
25.权利要求24的方法,其中,所述叔胺选自2-甲基氨基乙醇、PMDETA、三乙醇胺、三乙胺和它们的混合物。
26.权利要求25的方法,其中,所述胺选自2-甲基氨基乙醇、PMDETA、三乙醇胺和它们的混合物。
27.权利要求22的方法,其中,所述溶剂选自DMSO、EC、NMP、乙酰基丙酮、BLO、醋酸、DMAC、PC和它们的混合物。
28.权利要求22的方法,其中,所述胺选自仲胺、叔胺、二异丙胺、三异丙胺、二乙二醇胺和它们的混合物。
29.权利要求22的方法,它还包括用超临界二氧化碳和冲洗剂冲洗衬底的步骤。
30.权利要求29的方法,其中,所述冲洗剂包括水。
31.权利要求29的方法,其中,所述冲洗剂包括醇。
32.权利要求31的方法,其中,所述醇包括乙醇。
33.权利要求29的方法,其中,所述冲洗剂包括丙酮。
34.一种处理在衬底表面上有一种材料的衬底的方法,所述材料选自光刻胶、光刻胶残渣、蚀刻残渣和它们的混合物,该方法包括下列步骤:
a.保持超临界二氧化碳、胺和溶剂与所述材料接触,使得所述胺和溶剂至少部分溶解所述材料;和
b.从衬底附近去除所述材料。
35.一种处理衬底的方法,它包括下列步骤:
a.保持超临界二氧化碳、叔胺和溶剂与所述衬底表面上的一种材料接触,所述材料选自光刻胶、光刻胶残渣、蚀刻残渣和它们的混合物,使得所述材料至少部分被溶解;和
b.从所述衬底附近去除所述材料。
CNB008150826A 1999-11-02 2000-11-01 使用超临界二氧化碳法从衬底上去除光刻胶及残渣 Expired - Fee Related CN1171288C (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US16312099P 1999-11-02 1999-11-02
US16311699P 1999-11-02 1999-11-02
US60/163,120 1999-11-02
US60/163,116 1999-11-02
US19966100P 2000-04-25 2000-04-25
US60/199,661 2000-04-25
US09/697,227 2000-10-25
US09/697,227 US6500605B1 (en) 1997-05-27 2000-10-25 Removal of photoresist and residue from substrate using supercritical carbon dioxide process

Publications (2)

Publication Number Publication Date
CN1384972A true CN1384972A (zh) 2002-12-11
CN1171288C CN1171288C (zh) 2004-10-13

Family

ID=27496540

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008150826A Expired - Fee Related CN1171288C (zh) 1999-11-02 2000-11-01 使用超临界二氧化碳法从衬底上去除光刻胶及残渣

Country Status (10)

Country Link
US (1) US6500605B1 (zh)
EP (1) EP1226603A2 (zh)
JP (1) JP3771496B2 (zh)
KR (1) KR100525855B1 (zh)
CN (1) CN1171288C (zh)
AU (1) AU1455001A (zh)
CA (1) CA2387334A1 (zh)
HK (1) HK1050957A1 (zh)
MX (1) MXPA02004039A (zh)
WO (1) WO2001033613A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346453C (zh) * 2002-11-05 2007-10-31 株式会社神户制钢所 清洗微结构的方法
CN100355016C (zh) * 2003-12-22 2007-12-12 索尼株式会社 生产结构体的方法和氧化硅膜用蚀刻剂
CN103972055A (zh) * 2013-01-31 2014-08-06 中微半导体设备(上海)有限公司 光刻胶去除方法
CN115799063A (zh) * 2023-01-31 2023-03-14 广州粤芯半导体技术有限公司 一种氧化物层的刻蚀方法

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6815359B2 (en) * 2001-03-28 2004-11-09 Advanced Micro Devices, Inc. Process for improving the etch stability of ultra-thin photoresist
US6890855B2 (en) * 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6946055B2 (en) 2001-08-22 2005-09-20 International Business Machines Corporation Method for recovering an organic solvent from a waste stream containing supercritical CO2
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
US6737225B2 (en) * 2001-12-28 2004-05-18 Texas Instruments Incorporated Method of undercutting micro-mechanical device with super-critical carbon dioxide
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7557073B2 (en) * 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US20050227183A1 (en) * 2002-01-11 2005-10-13 Mark Wagner Compositions and methods for image development of conventional chemically amplified photoresists
JP2003224099A (ja) 2002-01-30 2003-08-08 Sony Corp 表面処理方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US6953654B2 (en) 2002-03-14 2005-10-11 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
AU2003226048A1 (en) * 2002-04-12 2003-10-27 Supercritical Systems Inc. Method of treatment of porous dielectric films to reduce damage during cleaning
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6800142B1 (en) 2002-05-30 2004-10-05 Novellus Systems, Inc. Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
KR100649418B1 (ko) * 2002-08-22 2006-11-27 다이킨 고교 가부시키가이샤 박리액
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US20080000505A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
KR100862988B1 (ko) * 2002-09-30 2008-10-13 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
US6943139B2 (en) * 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7485611B2 (en) * 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US6919167B2 (en) * 2002-11-14 2005-07-19 Micell Technologies Positive tone lithography in carbon dioxide solvents
US6683008B1 (en) * 2002-11-19 2004-01-27 International Business Machines Corporation Process of removing ion-implanted photoresist from a workpiece
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
JP2004249189A (ja) * 2003-02-19 2004-09-09 Sony Corp 洗浄方法
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
KR100505693B1 (ko) * 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
DE102004029077B4 (de) * 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
US20050006310A1 (en) * 2003-07-10 2005-01-13 Rajat Agrawal Purification and recovery of fluids in processing applications
US20050029492A1 (en) * 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
JP4173781B2 (ja) * 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US20050039775A1 (en) * 2003-08-19 2005-02-24 Whitlock Walter H. Process and system for cleaning surfaces of semiconductor wafers
JP2007513522A (ja) * 2003-12-01 2007-05-24 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 超臨界流体/化学調合物を用いたmems犠牲層の除去
KR100534103B1 (ko) * 2004-01-14 2005-12-06 삼성전자주식회사 초임계 유체를 이용한 미세 전자소자의 제조 방법
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
US7553803B2 (en) * 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US20050261150A1 (en) * 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7195676B2 (en) * 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
US7304000B2 (en) * 2004-08-19 2007-12-04 International Business Machines Corporation Photoresist trimming process
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
WO2006081534A1 (en) * 2005-01-28 2006-08-03 Micell Technologies, Inc. Compositions and methods for image development of conventional chemically amplified photoresists
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US7494107B2 (en) * 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
WO2006107517A2 (en) * 2005-04-04 2006-10-12 Mallinckrodt Baker, Inc. Composition for cleaning ion implanted photoresist in front end of line applications
US7407554B2 (en) * 2005-04-12 2008-08-05 International Business Machines Corporation Development or removal of block copolymer or PMMA-b-S-based resist using polar supercritical solvent
JP2008537343A (ja) * 2005-04-15 2008-09-11 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
EP1877530A4 (en) * 2005-04-15 2010-06-09 Advanced Tech Materials REMOVAL OF HIGH-TECH PHOTO LACK WITH SELF-ORGANIZED MONOSLAYS IN SOLVENT SYSTEMS
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
JPWO2006132008A1 (ja) * 2005-06-07 2009-01-08 東亞合成株式会社 有機被膜剥離剤、該剥離剤を用いた有機被膜の除去方法および除去装置
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US7361231B2 (en) * 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP4963815B2 (ja) * 2005-09-07 2012-06-27 ソニー株式会社 洗浄方法および半導体装置の製造方法
US7332449B2 (en) * 2005-09-30 2008-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming dual damascenes with supercritical fluid treatments
JP4610469B2 (ja) * 2005-11-08 2011-01-12 株式会社トクヤマ 洗浄除去剤
JP2007149866A (ja) * 2005-11-25 2007-06-14 Elpida Memory Inc 半導体シリコン基板の製造方法およびその製造装置
JP2007221096A (ja) * 2006-01-23 2007-08-30 Ryusyo Industrial Co Ltd リフトオフ加工方法およびリフトオフ加工装置
CN101416118B (zh) 2006-04-05 2013-04-03 旭硝子株式会社 器件基板的清洗方法
US20080083427A1 (en) * 2006-10-09 2008-04-10 Semitool, Inc. Post etch residue removal from substrates
KR100807234B1 (ko) 2006-11-16 2008-02-28 삼성전자주식회사 포토레지스트 제거방법 및 반도체 소자의 제조 방법
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
JP2009014938A (ja) * 2007-07-03 2009-01-22 Toagosei Co Ltd レジスト剥離剤組成物
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
US20110117752A1 (en) * 2009-11-18 2011-05-19 Kwon Taek Lim Method and system for etching a silicon dioxide film using densified carbon dioxide
JP5450494B2 (ja) * 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US9017934B2 (en) * 2013-03-08 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist defect reduction system and method
KR102411946B1 (ko) 2015-07-08 2022-06-22 삼성전자주식회사 초임계 유체를 이용한 기판 처리장치와 이를 포함하는 기판 처리 시스템 및 이를 이용한 기판처리 방법
JP6926303B2 (ja) * 2016-10-04 2021-08-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記録媒体
KR101910157B1 (ko) * 2018-08-06 2018-10-19 영창케미칼 주식회사 유무기 하이브리드 포토레지스트 공정액 조성물
CN113054068B (zh) * 2019-12-27 2022-04-05 山东浪潮华光光电子股份有限公司 一种砷化镓基发光二极管粗化后取管芯的方法

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
FR2128426B1 (zh) 1971-03-02 1980-03-07 Cnen
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4759917A (en) 1987-02-24 1988-07-26 Monsanto Company Oxidative dissolution of gallium arsenide and separation of gallium from arsenic
DE3861050D1 (de) 1987-05-07 1990-12-20 Micafil Ag Verfahren und vorrichtung zum extrahieren von oel oder polychloriertem biphenyl aus impraegnierten elektrischen teilen mittels eines loesungsmittels sowie destillation des loesungsmittels.
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5238671A (en) 1987-11-27 1993-08-24 Battelle Memorial Institute Chemical reactions in reverse micelle systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
WO1990009233A1 (en) 1989-02-16 1990-08-23 Pawliszyn Janusz B Apparatus and method for delivering supercritical fluid
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP2782560B2 (ja) 1990-12-12 1998-08-06 富士写真フイルム株式会社 安定化処理液及びハロゲン化銀カラー写真感光材料の処理方法
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
DE69231971T2 (de) 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
AT395951B (de) 1991-02-19 1993-04-26 Union Ind Compr Gase Gmbh Reinigung von werkstuecken mit organischen rueckstaenden
DE59204395D1 (de) 1991-05-17 1996-01-04 Ciba Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2.
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5965025A (en) 1991-06-12 1999-10-12 Idaho Research Foundation, Inc. Fluid extraction
US5730874A (en) 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5356538A (en) 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5279615A (en) 1991-06-14 1994-01-18 The Clorox Company Method and composition using densified carbon dioxide and cleaning adjunct to clean fabrics
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5431843A (en) 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
US5213622A (en) 1991-10-11 1993-05-25 Air Products And Chemicals, Inc. Cleaning agents for fabricating integrated circuits and a process for using the same
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
KR930019861A (ko) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
CA2125965A1 (en) 1991-12-18 1993-06-24 Michelle A. De Crosta Method for removing residual additives from elastomeric articles
US5474812A (en) 1992-01-10 1995-12-12 Amann & Sohne Gmbh & Co. Method for the application of a lubricant on a sewing yarn
US5688879A (en) 1992-03-27 1997-11-18 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
US5496901A (en) 1992-03-27 1996-03-05 University Of North Carolina Method of making fluoropolymers
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5352327A (en) 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5370742A (en) 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
EP0591595A1 (en) 1992-10-08 1994-04-13 International Business Machines Corporation Molecular recording/reproducing method and recording medium
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
WO1994014240A1 (en) 1992-12-11 1994-06-23 The Regents Of The University Of California Microelectromechanical signal processors
AU671895B2 (en) 1993-04-12 1996-09-12 Colgate-Palmolive Company, The Tricritical point composition
US5403665A (en) 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
JP3338134B2 (ja) 1993-08-02 2002-10-28 株式会社東芝 半導体ウエハ処理方法
US5364497A (en) 1993-08-04 1994-11-15 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5509431A (en) 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
TW274630B (zh) 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
US5872257A (en) 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
US5641887A (en) 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP3320549B2 (ja) 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 被膜除去方法および被膜除去剤
US5467492A (en) 1994-04-29 1995-11-21 Hughes Aircraft Company Dry-cleaning of garments using liquid carbon dioxide under agitation as cleaning medium
KR0137841B1 (ko) 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
EP0711864B1 (en) 1994-11-08 2001-06-13 Raytheon Company Dry-cleaning of garments using gas-jet agitation
US5505219A (en) 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
EP0726099B1 (en) 1995-01-26 2000-10-18 Texas Instruments Incorporated Method of removing surface contamination
JP3277114B2 (ja) 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 陰画調レジスト像の作製方法
DE19506404C1 (de) 1995-02-23 1996-03-14 Siemens Ag Verfahren zum Freiätzen (Separieren) und Trocknen mikromechanischer Komponenten
US5676705A (en) 1995-03-06 1997-10-14 Lever Brothers Company, Division Of Conopco, Inc. Method of dry cleaning fabrics using densified carbon dioxide
US5683977A (en) 1995-03-06 1997-11-04 Lever Brothers Company, Division Of Conopco, Inc. Dry cleaning system using densified carbon dioxide and a surfactant adjunct
US5681398A (en) 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (ja) 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
JPH08330266A (ja) 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5932100A (en) 1995-06-16 1999-08-03 University Of Washington Microfabricated differential extraction device and method
US5783082A (en) 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5679169A (en) 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US5726211A (en) 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5804607A (en) 1996-03-21 1998-09-08 International Business Machines Corporation Process for making a foamed elastomeric polymer
US5868856A (en) 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5669251A (en) 1996-07-30 1997-09-23 Hughes Aircraft Company Liquid carbon dioxide dry cleaning system having a hydraulically powered basket
US5868862A (en) 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5798438A (en) 1996-09-09 1998-08-25 University Of Massachusetts Polymers with increased order
US5881577A (en) 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5888050A (en) 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5797719A (en) 1996-10-30 1998-08-25 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6114044A (en) 1997-05-30 2000-09-05 Regents Of The University Of California Method of drying passivated micromachines by dewetting from a liquid-based process
US5900354A (en) 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
AU3360399A (en) * 1998-03-30 1999-10-18 Leisa B. Davenhall Composition and method for removing photoresist materials from electronic components
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346453C (zh) * 2002-11-05 2007-10-31 株式会社神户制钢所 清洗微结构的方法
CN100355016C (zh) * 2003-12-22 2007-12-12 索尼株式会社 生产结构体的方法和氧化硅膜用蚀刻剂
CN103972055A (zh) * 2013-01-31 2014-08-06 中微半导体设备(上海)有限公司 光刻胶去除方法
CN103972055B (zh) * 2013-01-31 2016-09-07 中微半导体设备(上海)有限公司 光刻胶去除方法
CN115799063A (zh) * 2023-01-31 2023-03-14 广州粤芯半导体技术有限公司 一种氧化物层的刻蚀方法

Also Published As

Publication number Publication date
HK1050957A1 (en) 2003-07-11
WO2001033613A3 (en) 2002-01-10
AU1455001A (en) 2001-05-14
KR20020047327A (ko) 2002-06-21
WO2001033613A2 (en) 2001-05-10
JP2003513342A (ja) 2003-04-08
CN1171288C (zh) 2004-10-13
MXPA02004039A (es) 2003-08-20
KR100525855B1 (ko) 2005-11-02
EP1226603A2 (en) 2002-07-31
US6500605B1 (en) 2002-12-31
JP3771496B2 (ja) 2006-04-26
CA2387334A1 (en) 2001-05-10

Similar Documents

Publication Publication Date Title
CN1171288C (zh) 使用超临界二氧化碳法从衬底上去除光刻胶及残渣
CN1279586C (zh) 制造半导体器件的方法和用于清洗衬底的设备
US20040177867A1 (en) Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
KR101170255B1 (ko) 반도체 기판의 표면 처리 방법 및 장치
JP5404361B2 (ja) 半導体基板の表面処理装置及び方法
US20100075504A1 (en) Method of treating a semiconductor substrate
US20040112409A1 (en) Fluoride in supercritical fluid for photoresist and residue removal
JP2003229481A (ja) 半導体装置及びその製造方法
CN1871553A (zh) 双胆碱和三胆碱在涂石英多晶硅和其它材料清洁中的用法
CN1447754A (zh) 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
US10573508B2 (en) Surface treatment apparatus and method for semiconductor substrate
CN1258730A (zh) 光敏抗蚀剂清除剂组合物
CN1577111A (zh) 光致抗蚀剂剥离液组合物及使用光致抗蚀剂剥离液组合物的光致抗蚀剂的剥离方法
JP5361790B2 (ja) 半導体基板の表面処理方法
JP2006251491A (ja) フォトレジスト剥離液組成物及びフォトレジストの剥離方法
CN100335969C (zh) 减少多孔介电薄膜清洗期间损伤的处理方法
US6758223B1 (en) Plasma RIE polymer removal
CN1118866C (zh) 制造半导体器件的方法
CN1263094C (zh) 半导体器件的制造方法
CN1619774A (zh) 具有缩小间距的半导体元件及其形成方法
TW500985B (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide process
CN102135733A (zh) 光阻去除方法
KR960013781B1 (ko) 필드산화막 제조방법
KR20050073052A (ko) 웨이퍼의 세정 및 건조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CI01 Correction of invention patent gazette

Correction item: Priority

Correct: 1999.11.02 US 60/163116

Number: 41

Volume: 20

CI03 Correction of invention patent

Correction item: Priority

Correct: 1999.11.02 US 60/163116

Number: 41

Page: The title page

Volume: 20

COR Change of bibliographic data

Free format text: CORRECT: PRIORITY TO: 1999.11.02 US 60/163116

ERR Gazette correction

Free format text: CORRECT: PRIORITY; 1999.11.02 US 60/163116

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20041013

Termination date: 20091201