CN1362727A - 在半导体晶片中制造器件的增强淀积控制 - Google Patents

在半导体晶片中制造器件的增强淀积控制 Download PDF

Info

Publication number
CN1362727A
CN1362727A CN01143423A CN01143423A CN1362727A CN 1362727 A CN1362727 A CN 1362727A CN 01143423 A CN01143423 A CN 01143423A CN 01143423 A CN01143423 A CN 01143423A CN 1362727 A CN1362727 A CN 1362727A
Authority
CN
China
Prior art keywords
silicon nitride
nitride layer
grid
nmosfet
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01143423A
Other languages
English (en)
Other versions
CN1199248C (zh
Inventor
伊藤信哉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1362727A publication Critical patent/CN1362727A/zh
Application granted granted Critical
Publication of CN1199248C publication Critical patent/CN1199248C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Abstract

一种用于增强淀积控制的方法,包括在半导体晶片的衬底内形成至少一个器件,以及在反应器中,以至少约104Pa的压力,在晶片上淀积氮化硅层。

Description

在半导体晶片中制造器件的增强淀积控制
技术领域
本发明涉及在半导体晶片中制造器件的增强淀积控制的方法。
背景技术
半导体工艺不断发展的趋势,是建立具有更多和/或更快的半导体器件的集成电路。这种超大规模集成的趋向导致器件和电路零件的不断紧缩。在这种趋势中,半导体器件的制造涉及在半导体晶片上淀积氮化硅层,以保护下层结构。
氮化硅层的各种淀积技术是已知的。一种这类技术被揭示在美国专利No.6060393中,其中,氮氧化硅层是采用等离子体增强的化学汽相淀积(PECVD)处理而淀积的,并被用作局部互连的蚀刻停止层。另一技术被揭示在美国专利No.5997757,其中,氮化硅层是采用低压化学汽相淀积(LPCVD)处理,淀积一小时以上。
发明内容
本发明的目的是在现有的淀积技术之上,提供一种在时间和/或改善下层结构中的电子迁移率方面,增强淀积控制的方法。
根据本发明,提供一种增强的淀积控制的方法,它包括在半导体晶片的衬底内形成至少一个器件,和在反应器中以至少约104Pa的压力,在晶片上淀积氮化硅层。
本发明的上述和其他目的、特点和优点,通过下面结合附图对本发明示范实施例的更具体描述,将变得更清楚。图中所示不是必须的尺度,而是侧重于说明发明的原理。
附图说明
图1A至1C描绘了在采用增强淀积控制形成氮化硅层,然后形成叠加的介电层期间,半导体晶片的一部分的断面;
图2A至2C描绘了图1C的部分,即随后形成穿越介电层和氮化硅层的局部互连;
图3描绘了n-沟道金属氧化物半导体场效应管的导通(ON)驱动电流与截止(OFF)电流的关系特性;
图4描绘P-沟道金属氧化物半导体场效应管的导通(ON)驱动电流与截止(OFF)电流的关系特性;
图5描绘在叠加的氮化硅层内部,导通驱动电流随不同应力的变化;
图6描绘[跨导×栅极长度Lg]随不同栅极长度的变化;
图7A至7C描绘在采用增强淀积控制形成氮化硅层,然后形成叠加的介电层的期间,半导体晶片的一部分的断面;
图8描绘无氮化硅层的n-沟道金属氧化物半导体场效应管的栅极电容随栅极电压的变化;
图9描绘根据第一比较范例的半导体晶片的一部分的断面;
图10A-C描绘根据第二比较范例的半导体晶片的一部分的断面;
图11描绘根据第一比较范例的n-沟道金属氧化物半导体场效应管的导通驱动电流与截止电流的关系特性;
图12描绘对于根据第二比较范例的n-沟道金属氧化物半导体场效应管,不同的栅极电压与变化的栅极电容的关系。
具体实施方式
下面所描述的过程步骤和结构,并不是在半导体晶片上制造集成电路的完整流程。本发明可结合技术上通用的集成电路制造技术来实施,这里只包括为理解本发明所必要的一些共同的实际处理步骤。表示制造过程中的器件部分的断面图,未画出比例尺度,所画的是为了以图形说明本发明的特征。
根据本发明的示范实施例,提供有一种增强淀积的处理,它用在双层介电结构的制造中,能在某种意义上改善下层单个或多个器件的性能。双层介电结构包括氮化硅层9和叠加介电层10(见图1C)。例如,双层介电结构包括叠加在薄的氮化硅层9上的厚的介电层10。根据本发明的实施例,在氮化硅层9的淀积过程中,被监视和控制的淀积压力,已维持在至少约104Pa。
图1A描绘在包括氮化硅层9的双层介电结构形成之前,半导体晶片的一部分的断面。如图所示,这部分包括硅衬底1,其中已形成一个或多个器件。在衬底1内形成场氧化物区2,用来使器件绝缘。这部分还包括栅极6,它是具有形成在衬底1内的源区8a和漏区8b的金属氧化物半导体场效应晶体管(MOSFET)的一部分。如图所示,栅极6被形成在栅极氧化物层3上,在栅极氧化物层3的下面有沟道形成在源和漏区8a和8b之间。栅极氧化物层3被形成在衬底1上。在一个示范性实施例中,栅极氧化物层3是厚度为2nm的氮氧化硅(SiOXNY)薄膜。栅极6包搀杂的多晶硅(以下称为多晶硅)层4。在实施例中,多晶硅层4的厚度大约150nm,栅极6也包括形成在多晶硅层4上的任选的导电硅化物层5。另外,在实施例中,栅极6有0.1μm的栅极长度Lg。栅极长度Lg是栅极6的尺度,是在源和漏区8a和8b横越沟道彼此分隔的方向计量的长度。氧化物隔离膜7例如二氧化硅(SiO2),被形成在栅极6的垂直侧表面或壁面上。
下面描述多晶硅层4和硅化物层5的材料。对n-沟道MOSFET(NMOSFET)来说,多晶硅层4被搀杂以n型导电性搀杂物例如含磷的和含砷的。对P-沟道MOSFET(PMOSFET)来说,多晶硅层4被搀杂以P型导电性搀杂物例如硼。搀杂的离子是经过10秒的1000°温度条件下的快速热退火(RTA)被激活。硅化物层5包括钴硅化物(CoSi2)和镍硅化物(NiSi2)。硅化物层5也被形成在源和漏区8a和8b上。换句话说,栅极6的顶面,源和漏区8a和8b的表面有硅化物层5形成。
图1B描绘在氮化硅层9的淀积过程中半导体晶片改进部分的断面范例。氮化硅层9包括含有氮化硅(SiXNY)的介电材料。在实施例中,氮化硅层9在以虚线和参考标号40作方块表示的反应室中淀积。氮化硅层9的厚度大约50nm。在反应室40内的淀积过程中,包含硅烷(SiH4)和氨(NH3)的反应剂,被施加而流入反应室40。分子态氮(N2)被用作载体气体。除了分子态氮(N2),其他惰性气体如氦(He)和氩(Ar),也可用作载体气体。氨(NH3)的流速与硅烷(SiH4)的流速之比,不超过100很多,从这些比值增加约30%或减少约20%是合适的。在一示范实施例中,硅烷(SiH4)的流速从30sccm至50sccm是合适的。氮(NH3)的流速从2000sccm至4000sccm是合适的。分子态氮(N2)的流速从2000sccm至7000sccm是适合的。根据实施例在栅极6和氧化物隔离膜7上面,硅氮化物的淀积层9提供保形的阶梯覆盖。当反应室40内的压力维持在大约4×104Pa时,阶梯覆盖被增强。在根据本发明的实施例中,反应室40内的压力从1×104Pa至6×104Pa是合适的。在这个压力条件下,淀积速率超过50nm/分,因此,50nm厚的氮化硅层9在不到一分钟被淀积而成。在反应室40内,温度范围从600℃至800℃。
现有技术中的LPCVD处理是淀积压力维持在30Pa至250Pa(见S.M.Sze“VLST TECHNOLOGY”,第二版,1988 McGraw-Hill Book Company出版)。这些压力都远低于根据本发明实施例的1×104Pa至6×104Pa的压力范围。本发明实施例中的压力范围,是现有技术LPCVD处理所用的淀积压力的102至103倍。
参考图1C,在氮化硅层9淀积以后,介电层10被形成在氮化硅层9上。介电层10包括任何合适的介电材料,包括硼磷硅(酸盐)玻璃(BPSG)或任何合适的倍半硅氧烷,后者包括氢倍半硅氧烷,甲基氮倍半硅氧烷,甲基化氢倍半硅氧烷,或氟化倍半硅氧烷。介电层10可利用任何适当的技术(依赖于例如所用的一种或多种材料),形成任何合适的厚度。在实施例中,采用等离子体增强的化学汽相淀积(PECVD)处理进行淀积,然后用化学-机械研磨(CMP)处理使其平面化,BPSG的介电层10被形成至大约500nm厚度。BPSG层10包括二氧化硅(SiO2),大约4%重量的硼和4%重量的磷。在这个PECVD处理中的温度大约为400℃,它低于淀积氮化硅层9所用的LPCVD处理中的从600℃至800℃的温度范围。这两种淀积产生的拉伸应力。因为淀积氮化硅层9用较高的温度,淀积介电层10用较低的温度,所以氮化硅层9的拉伸应力被维护。这一点将会被理解:介电层10起到维护氮化硅层9内部产生的拉伸应力的作用。
在氮化硅层9内部被维持的拉伸应力,对下层结构有影响,这将在以后描述。在进一步描述氮化硅层9内部拉伸应力以前,下面先参考图2A,2B和2C,对利用氮化硅层9作为蚀刻停止层的局部互连结构处理,进行描述。
在图2A中,介电层10已被用CMP处理为平面。以蚀刻开口12形成的图案化抗蚀掩模11,已被覆盖在介电层10的顶部。从图案化的抗蚀掩模11暴露出来的介电层10,已在活性离子蚀刻(RIE)器内被蚀刻。介电层10被选择的部分已从蚀刻开口12下方被除去。在RIE蚀刻器中,已使用包括八氟丁烯(C4F8),氩(Ar)和氧气(O2)的馈入气体。蚀刻过程已被停止于氮化硅层9。
在图2B中,氮化硅层9被选择的部分已在相同的RIE蚀刻器中用包含氟利昂(CHF3)的新的馈入气体,从蚀刻开口12下方被干刻。已穿越介电层10和氮化硅层9生成接触孔12a。在蚀刻过程中,下层钴硅化物的硅化物层5没有被损坏,因此保护了包括源和漏8a和8b在内的下层结构。在这种蚀刻过程中,选择率,也就是氮化硅层9的蚀刻速率和钴硅化物层5的蚀刻速率之比,超过50。
在图2C中,包括钨(W)在内的一种或多种导电材料已被淀积以在已生成的穿越介电层10和氮化硅层9的接触孔12a中形成接触插塞13。接触插塞13造成与形成在介电层10上的金属区14的电连接。在实施例中,生成接触孔12a和淀积接触插塞13,以致造成分别与源和漏区8a和8b的电接触。在本发明的另一个示范实施例中,接触孔可以是穿越介电层10和氮化硅层9被生成为以致暴露栅极6的硅化物层5,接触插塞可在这个接触孔内淀积而成以致与栅极6有电接触。
为了考虑根据本发明的实施例所制造的NMOSFET(见图1A-1C,和2A-2C)的性能,已准备好两个作比较的范例,即第一和第二比较的范例。现在,将参考图9,描述第一比较范例。之后将参考图10A-10C,描述第二比较范例。
现在参考图9,描述第一比较范例。
图9描绘具有为局部互连处理所准备的氮化硅层107和介电层108的半导体晶片的部分断面。图示的这部分包括硅衬底101。这部分还包括栅极105,它是具有在衬底101中形成的源区和漏区(未示)的NMOSFET的一部分。栅极105包括多晶硅层103,它被形成在已在衬底101中形成的栅氧化物层102上。栅极105还包括形成在多晶硅层103顶部的导电硅化物层104。氧化物隔离膜106已被加至栅极105的垂直侧面或壁面。
氮化硅层107在晶片上被淀积至大约50nm的厚度,是在等离子体增强化学汽相淀积(PECVD)系统中,使用硅烷(SiH4),一氧化二氮(N2O)和氮气(N2),在大约480℃下进行淀积。介电层108曾是原硅酸四乙酯(TEOS)的保形层。介电层108暴露的顶部表面已用CMP处理为平面。
虽然未被表示,带蚀刻开口的图案化抗蚀掩模已在介电层108的顶部表面上形成。利用波纹技术已使局部互连形成,其中,介电层108和氮化硅层9,已被用等离子体蚀刻处理,从蚀刻开口下方除去。粘接层110和插塞111被淀积在穿越介电层108和氮化硅层107而建造的蚀刻开口109中,以造成与下层结构的电接触。
现在参考图10A-10C,描述第二比较范例。图10A描绘具有为局部互连处理所准备的硅氮化物207和介电层208的半导体晶片的部分断面。图示的这部分包括硅衬底201。这部分还包括栅极203,每个栅极203都是具有在衬底201中形成的源/漏区206的MOS的一部分。源/漏区206具有LDD结构。栅极203包括钨多晶硅层,它被形成在已在衬底201中形成的栅氧化物层202上。栅极203还包括形成钨多晶硅层顶部的分支氧化物204。氧化物隔离膜205已被加至栅203的垂直侧面或壁面。每个栅极203的分支氧化物是二氧化硅(SiO2)薄膜。氧化物隔离膜205由二氧化硅(SiO2)制成。
氮化硅层207在晶片上被淀积至大约50nm的厚度,环境为,温度范围为750℃至800℃,采用低压化学汽相淀积(LPCVD)处理,用的是氨(NH3)和硅烷(SiH4)或二氯硅烷(SiH2Cl2)。分子态氮气(N2)被用作载体气体。压力范围从10Pa至100Pa。采用LPCVD处理,将硅氮化物淀积至50nm所需要的时间大约1小时。介电层208曾是二氧化硅(SiO2)的保形层。介电层208暴露的顶部表面已用CMP处理为平面。
在图10B中,带蚀刻开口210的图案化抗蚀掩模,已被形成在介电层208的顶部表面上。介电层208的材料已被用等离子体蚀刻处理,从蚀刻开口210的下方除去。在这个蚀刻过程中,氮化硅层207保护了下层结构。
在图10C中,氮化硅层207已被用等离子体蚀刻处理,从蚀刻开口210的下方除去。插塞211在穿越介电层208和氮化硅层207的蚀刻开口210a中被淀积,以造成与下层结构电接触。
下面就第一比较范例,第二比较范例,和本发明的实施例,参考用不同的淀积技术形成氮化硅层的NMOSFET的性能变化。
首先,根据第一比较范例,考虑具有氮化硅层107的NMOSFET的性能。如前所述,氮化硅层107是用PECVD处理技术,在大约480℃条件下,淀积在NMOSFET上的。图11根据第一比较范例和未被氮化硅层覆盖的NMOSFET,通过表示NMOSFET的导通(ON)驱动电流ION与截止(OFF)电流IOFF的关系来概观其性能。在图11中,黑色圆表示按照第一比较范例的NMOSFET的实验数据,白以圆表示未被氮化硅层覆盖的NMOSFET的实验数据。实验数据是通过测量导通驱动电流和截止电流而获得的。导通电流是在下列条件下获得的:栅极电压Vg=0V,漏极电压Vd=1.5V,源极电压Vs=0V。截止电流是在下列条件下获得的:Vg=Vd=1.5V,Vs=0V。图11绘出不同栅极长度Lg的实验数据曲线。不同的栅极长度被选择。一些栅极长度的选择是为了在栅极长度Lg从0.08μm至0.2μm范围内观察导通电流的变化,另一些选择则是在超过这个范围0.2μm的不同栅极长度下观察导通电流的变化。在图11中,白色圆所示的实验数据,清楚地显示导通电流随栅极长度减小而增加的趋势。只要栅极长度Lg不小于一个确定值,黑色圆所示的实验数据同样表现出导通电流的增加趋势。但是,随着栅极长度Lg变得小于这个确定值,可以看出导通电流的不同的增加趋势。这个确定值已被进一步证实为0.3μm。在栅极长度Lg小于0.3μm的范围内时,对于同样的栅极长度,第一比较范例的NMOSFET的导通电流,比没有氮化硅层的NMOSFET的导通电流小。这意味着第一比较范例的NMOSFET在栅极长度Lg小于0.3μm的范围内时,显现出低的性能。本申请的发明者认为,这个低性能来自因氮化硅层内产生的压缩应力所致的电极迁移率的降低,所述氮化硅层是按照第一比较范例在PECVD处理中淀积而的。
其次,再考虑按照第二比较范例的具有氮化硅层207的NMOSFET的性能,和根据本发明实施例的具有氮化硅层9的NMOSFET的性能。如前面由图10A所描绘的第二比较范例中所述,采用LPCVD处理技术,在温度范围为750℃至800℃,压力范围为10Pa至100Pa的条件下,氮化硅层207被淀积在NMOSFET上。如前面在根据本发明的实施例中所述,采用PECVD处理技术,在温度范围为600℃至800℃,压力范围为1×104Pa至6×104Pa的条件下,氮化硅层9被淀积在NMOSFET上。与图11类似,图3通过表示根据第二比较范例的NMOSFET和根据本发明实施例的NMOSFET的导通电流ION与截止电流的关系,概括表示效果。在图3中,黑色方块表示根据第二比较范例的NMOSFET的实验数据,白色方块表示根据本发明实施例的NMOSFET的实验数据。通观图3和11,黑色圆表示与根据第一比较范例的NMOSFET的相同实验数据。表示在图3和11上的实验数据,是在同样方法下测量导通电流和截止电流而得的。在图3中,由白色方块表示的实验数据清楚地表明,对于同样的栅极长度Lg,根据本发明实施例的NMOSFET的导通电流,大于根据第一和第二比较范例的NMOSFET的导通电流。这意味着,在几乎所有为获得实验数据而选择的栅极长度上,根据本发明实施例的NMOSFET表现出良好的性能。发明者认为,这个由根据本发明实施例的NMOSFET所呈现的良好性能,来自于因氮化硅层内产生的拉伸应力而致的电极迁移率的提高,所述氮化硅层是根据本发明的实施例,在高压LPCVD处理中淀积而成的。
前面的描述已经澄清NMOSFET内的电极迁移率与叠加的氮化硅层内产生的应力有关。后面将参考图5和6,进一步描述电极迁移率对应力的依赖关系。在描述之前,考虑PMOSFET,其中是空穴携带电荷。众所周知,空穴迁移率低于电子迁移率。另外,空穴迁移率对叠加的氮化硅层内产生的应力依赖,远小于电子迁移率的相应依赖。图4表示导通驱动电流ION与截止电流IOFF的关系,它是3种不同的PMOSFET共用的,一个是根据第一比较范例,另一个是根据第二比较范例,又一个是根据本发明的实施例。因此,这些PMOSFET的导通电流没有或极少变化。
NMOSFET的导通电流ION依赖于叠加的氮化硅层内产生的应力。图5表示导通电流ION与叠加的氮化硅层内产生的应力之间的关系。白方块表示在与图3相同的条件下测得的导通电流ION实验数据,而截止电流IOFF与5nA/μm(=5×10-9A/μm)。图5表明导通电流随着叠加的氮化硅层内产生的拉伸应力增加而增加的趋势。当拉伸应力为大约1000MPa(=1010dyn/cm2)时,可见到导通电流显著增加。
导通电流在NMOSFET内的增加,依赖于栅极长度Lg。在图6中,实线表示有关根据本发明实施例的NMOSFET的[跨导×栅极长度Lg]对不同栅极长度Lg的变化。黑体虚线表示有关第一比较范例的NMOSFET的[跨导×栅极长度Lg]对不同栅极长度Lg的变化。正常虚线表示有关第二比较范例的NMOSFET的[跨导×栅极长度Lg]对不同栅极长度Lg的变化。
图6清楚地表明:当栅极长度Lg小于0.6μm的阈值时,对于相同的栅极长度,根据本发明的实施例的[跨导×栅极长度Lg]大于根据第二比较范例的,而后者又大于第一比较范例的。这意味着当栅极长度Lg小于0.6μm的阈值时,根据本发明实施例的NMOSFET表现出最好的性能。
图6还表明:当栅极长度Lg不小于0.6μm的阈值时,对于相同的栅极长度,根据本发明的实施例的[跨导×栅极长度Lg]小于根据第二比较范例的,而后者又小于第一比较范例的。
发明者认为,上述跨越0.6μm阈值的关系颠倒,是由于对沟道边缘施加应力的程度影响了NMOSFET内的电子迁移率。根据本发明的实施例,在晶片上淀积的氮化硅层覆盖NMOSFET的栅极的顶面和侧面,所述NMOSFET具有被处于栅极下面的沟道隔开的源/漏区。淀积的硅氮化物具有拉伸应力,它形成对着沟道施加于栅极的垂直力分量,在那里产生压缩应力,以及施加于沟道边缘的水平力分量,在那里产生拉伸应力。当栅极长度大时,沟道内的压缩应力变为优势。当栅极长度变成小于0.6μm时,沟道内的拉伸应力变为优势。发明者认为,电子迁移率随沟道内的拉伸应力变为优势而增加。如果沟道内的压缩应力变为优势,电子迁移率则被牵制。
参考图7A-7C,描述根据本发明的另一示范实施例。这个示范实施例在氮化硅层27(见图7B)的淀积方面,基本上与图1A-1C中所描绘的第一示范实施例相同。氮化硅层27(图7B)和9(图1B)的淀积和组成方法是相同的。再有,氮化硅层27也以同样的方法像氮化硅层9那样,充当蚀刻停止层。
图7A描述在包括氮化硅层27的双层介电结构形成之前,半导体晶片的一部分的断面。这部分包括硅衬底21,其中已形成一个或多个器件。这部分还包括栅极23,每个栅极都是具有形成在衬底21内的源/漏区26的MOS的一部分。源/漏区26具有LDD结构。栅极23包括搀杂钨的多晶硅层,它被形成在已形成于衬底21的栅极氧化物层22上。栅极23还包括被形成在钨多晶硅顶上的帽盖氧化物24。氧化物隔离膜25已被加至栅极23的垂直侧面或壁面。
图7B描绘在氮化硅层27淀积过程中的半导体改进部分的断面范例。氮化硅层27是氮化硅薄膜,厚度大约为50nm。氮化硅层27在反应室40内被淀积,采用LPCVD处理,用的是氨(NH3)和硅烷(SiH4),温度范围为600℃至800℃。分子态氮(N2)被用作载体气体。压力范围从1×104Pa至6×104Pa。硅氮化物淀积至50nm所需要的时间大约小于一分钟。
参考图7C,图中所示为在保形介电层28淀积至500nm厚度以后,介电层暴露的顶部表面通过使用CMP处理已被制成平面。在这个淀积过程中,温度大约为500℃。虽然未被表示,但带蚀刻开口的图案化抗蚀掩模已形成在介电层28的顶面。介电层28的材料已经通过使用干刻处理从蚀刻开口的下方被除去。在干刻处理中已使用包括八丁烯(C4F8)的馈入气体。在这个蚀刻过程中,氮化硅层27保护下层结构。选择率,也就是介电层28的蚀刻速率和氮化硅层27的蚀刻速率之比,大约为30。氮化硅层27的材料,已在干刻处理中使用包括三氟化氮(NF3)和一氧化碳(CO)的馈入气体,从蚀刻开口的下方除去。已经穿越介电层28和氮化硅层27而生成接触孔29。虽然未被示出,但插塞将淀积在接触孔中,以造成与下层结构的电接触。
根据本发明的实施例被淀积而成的氮化硅层9和27是多孔的,并有非常大量的气孔,以便在加氢退火过程中,使氢气通往下层结构。如第二比较范例(见图10A-10C)所描述的,用常规LPCVD处理淀积而成的氮化硅层207是紧缩的,因此气孔较少。
在这种常规LPCVD处理中,每个栅极203的搀杂多晶硅层,被暴露在约750℃的温度下约1小时,直至氮化硅层207被淀积至50nm厚度。由于这种高温下的长时间暴露,多晶硅层中搀入的杂质被去活,引起活性杂质的浓度明显下降。活性杂质浓度下降,造成栅极203的多晶硅内部耗尽。在根据本发明实施例的淀积处理中,栅极6(见图1A)或23(见图7A)的多晶硅层的暴露时间非常短,不到一分钟。活性杂质的浓度下降和由此造成的多晶硅内部的耗尽,完全被制止,或者至少被抑制至足够低的水平。
栅极中耗尽的存在对在半导体晶片中形成的NMOSFET和PMOSFET的性能有影响。图12概括性地描述了栅极电容Cg随不同栅极电压Vg的变化的这种影响。考虑NMOSFET,它的栅极尺度为10μm×10μm,被形成在2nm厚的氧氮化物层上,后者已形成在硅衬底上。已根据第二比较范例(参考图10A-10C)制造出第一个试验样品。已经制出第二个试验样品,但没有这个氮化硅层。图8描绘第二个样品的栅极电容Cg随不同栅极电压Vg的变化。在图12中,虚线表示第一个试验样品的栅极电容Cg随不同栅极电压Vg的变化,而实线表示在图8中所示的栅极电容Cg的变化。从图12中可以看出,如果栅极电压超过0.5V,栅极电容Cg就有相当大的差异。这种差异是由于第一个试验样品的栅极内部出现耗尽现象。第三个试验样品已被制造出来,它采用了根据本发明实施例的氮化硅层的淀积处理。图8表示第三个试验样品的栅极电容Cg随不同栅极电压Vg的变化。图8中的实线模拟图12中的实线,意思是在根据本发明实施例的第三个样品的栅极中,没有耗尽现象。因此,如果栅极电压超过0.5V,不会有不良的差异。
在本发明的示范实施例中,为淀积氮化硅层9,被施加到反应室40中的反应物包括硅烷(SiH4)和氨(NH3)。在本发明的另一个实施例中,氟硅烷(SiHxF4-x)被用来代替硅烷(SiH4),这里,x=0,1,2,3,或4。在这个实施例中,氟硅烷(SiHxF4-x)和氨(NH3)被气流加进室40中。分子氮(NH3)被用作载体气体。代替分子态氮(N2),其他惰性气体,例如氦气(He)和氩气(Ar)可被用作载体气体。
在本发明的又一个实施例中,乙硅烷(Si2H6)被用来代替硅烷(SiH4)。如果是这样,淀积温度应该被维持在600℃以下。在本发明的再一个实施例中,二氯硅烷(SiH2Cl2)被用来代替硅烷(SiH4)。
在根据本发明的实施例中,反应室40中的适当压力范围为1×104Pa至6×104Pa。这个压力范围通常是合适的。如果使用高于这个范围的淀积压力,氮化硅层的厚度变化会增加,并常常出现微粒。如果淀积压力低于这个范围,淀积速率会降低。
尽管已结合示范实施例具体描述了本发明,但显然,对那些本领域的技术人员来说,显然是能够做出许多替代、修改和变型的。所以所附的权利要求将包括符合本发明的范围和精神的任何这种替代、修改和变形。

Claims (22)

1.一种用于增强淀积控制的方法,其中包括:
在半导体晶片的衬底中形成至少一个器件;和
在反应器中,以至少约104Pa的压力,在该晶片上淀积氮化硅层。
2.如权利要求1所述的方法,其特征在于所述器件是MOS形式的器件。
3.如权利要求1所述的方法,其特征在于所述器件是NMOSFET形式的器件。
4.如权利要求1所述的方法,其特征在于所述氮化硅层包括氮化硅(SiXNY)。
5.如权利要求3所述的方法,其特征在于氮化硅层产生加强NMOSFET内部电子迁移率的力分量。
6.如权利要求1所述的方法,其特征在于淀积氮化硅层的操作包括:
将被选择的反应物注入化学汽相淀积(CVD)室。
7.如权利要求6所述的方法,其特征在于将被选择的反应物注入CVD室的操作包括:
注入氨(NH3);和
注入氟硅烷(SiHxF4-x)。
8.如权利要求3所述的方法,其特征在于NMOSFET包括带顶表面和侧表面的栅极,在淀积氮化硅层的操作期间,其中被淀积的氮化硅层被淀积在NMOSFET的栅极的顶表面和侧表面上。
9.如权利要求7所述的方法,其特征在于所述器件是NMOSFET形式的器件,所述NMOSFET具有带顶表面和侧表面的栅极,在淀积氮化硅层的操作期间,被淀积的氮化硅层被淀积在NMOSFET栅极的顶表面和侧表面上。
10.如权利要求6所述的方法,其特征在于
氮化硅层包括氮化硅(SiXNY);
其中,将被选择的反应物注入反应器的操作包括:
注入氨(NH3);注入硅烷(SiH4);以及
其中,在淀积氮化硅层的操作期间,压力范围从1×104Pa至6×104Pa。
11.如权利要求10所述的方法,其特征在于所述器件是NMOSFET形式的器件,所述NMOSFET具有带顶表面和侧表面的栅极,在淀积氮化硅层的操作期间,氮化硅层被淀积在NMOSFET的栅极的顶表面和侧表面上。
12.如权利要求7所述的方法,其特征在于
氮化硅层包括氮化硅(SiXNY);
其中,所述器件是NMOSFET形式的器件,NMOSFET具有带顶表面和侧表面的栅极,具有一表面的源区,和具有一表面的漏区;和
进一步包括:
在淀积氮化硅层的操作之前,以硅化物形成栅极的顶表面,和源区及漏区的表面。
13.如权利要求7所述的方法,其特征在于在淀积氮化硅层的操作期间,氮化硅层被淀积在NMOSFET的栅极的顶表面和侧表面上。
14.如权利要求10所述的方法,其特征在于
其中,器件是NMOSFET形式的器件,NMOSFET具有带顶表面和侧表面的栅极,具有一表面的源区,和具有一表面的漏区;和
进一步包括:
在淀积氮化硅层的操作之前,以硅化物形成栅极的顶表面,和源区及漏区的表面;
其中,在淀积氮化硅层的操作期间,氮化硅层被淀积在NMOSFET的栅极的顶表面和侧表面上;和
其中,在淀积氮化硅层的操作期间,压力范围从1×104Pa至6×104Pa。
15.如权利要求10所述的方法,其特征在于
其中,所述器件是NMOSFET形式的器件,NMOSFET具有:带顶表面和侧表面的栅极,具有一表面的源区,和具有一表面的漏区;和
进一步包括:
在淀积氮化硅层的操作之前,以硅化物形成栅极的顶表面,和源区及漏区的表面;和
其中,在淀积氮化硅层的操作期间,压力范围从1×104Pa至6×104Pa。
16.如权利要求7所述的方法,其特征在于
所述氮化硅层包括氮化硅(SiXNY);
所述器件是NMOSFET形式的器件,NMOSFET具有带顶表面和侧表面的栅极;和
进一步包括:
在淀积氮化硅层的操作之前,在栅极的顶表面上形成二氧化硅帽盖;和
在淀积氮化硅层的操作之前,在栅极的侧表面上形成二氧化硅侧壁。
17.如权利要求16所述的方法,其特征在于在淀积氮化硅层的操作期间,氮化硅层被淀积在NMOSFET的栅极的顶表面和侧表面。
18.如权利要求10所述的方法,其特征在于
所述器件是NMOSFET形式的器件,NMOSFET具有带顶表面和侧表面的栅极;和
进一步包括:
在淀积氮化硅层的操作之前,在栅极的顶表面上形成二氧化硅帽盖;和
在淀积氮化硅层的操作之前,在栅极的侧表面上形成二氧化硅侧壁。
19.如权利要求18所述的方法,其特征在于在淀积氮化硅层的操作期间,被淀积的氮化硅层是淀积在NMOSFET的栅极的顶表面和侧表面。
20.如权利要求1所述的方法,其特征在于氮化硅层具有1×1010dyn/cm2的拉伸应力。
21.如权利要求9所述的方法,其特征在于栅极在漏区和源区横越沟道而被隔开的方向上最多延伸0.6μm。
22.如权利要求1所述的方法,其特征在于进一步包括:
在氮化硅层上淀积介电层。
CNB011434236A 2000-12-26 2001-12-26 在半导体晶片中制造器件的增强淀积控制 Expired - Fee Related CN1199248C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000394803A JP2002198368A (ja) 2000-12-26 2000-12-26 半導体装置の製造方法
JP2000394803 2000-12-26

Publications (2)

Publication Number Publication Date
CN1362727A true CN1362727A (zh) 2002-08-07
CN1199248C CN1199248C (zh) 2005-04-27

Family

ID=18860369

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011434236A Expired - Fee Related CN1199248C (zh) 2000-12-26 2001-12-26 在半导体晶片中制造器件的增强淀积控制

Country Status (6)

Country Link
US (1) US6656853B2 (zh)
JP (1) JP2002198368A (zh)
KR (1) KR20020052980A (zh)
CN (1) CN1199248C (zh)
GB (1) GB2376564B (zh)
TW (1) TW540119B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100386880C (zh) * 2004-07-08 2008-05-07 富士通株式会社 半导体器件与cmos集成电路器件
CN1577889B (zh) * 2003-06-27 2010-05-26 英特尔公司 具有应力施加层的非平面器件及制造方法

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005057301A (ja) * 2000-12-08 2005-03-03 Renesas Technology Corp 半導体装置及びその製造方法
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6831008B2 (en) * 2002-09-30 2004-12-14 Texas Instruments Incorporated Nickel silicide—silicon nitride adhesion through surface passivation
US6884736B2 (en) * 2002-10-07 2005-04-26 Taiwan Semiconductor Manufacturing Co, Ltd. Method of forming contact plug on silicide structure
US20040082157A1 (en) * 2002-10-23 2004-04-29 Osamu Kato Method for fabricating a gate mask of a semiconductor device
JP2004152790A (ja) * 2002-10-28 2004-05-27 Toshiba Corp 半導体装置、及び、半導体装置の製造方法
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6924181B2 (en) * 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
KR100500451B1 (ko) * 2003-06-16 2005-07-12 삼성전자주식회사 인장된 채널을 갖는 모스 트랜지스터를 구비하는반도체소자의 제조 방법
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100554157B1 (ko) * 2003-08-21 2006-02-22 학교법인 포항공과대학교 저유전 특성의 유기 실리케이트 고분자 복합체
JP4653949B2 (ja) * 2003-12-10 2011-03-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
JP4441488B2 (ja) * 2003-12-25 2010-03-31 富士通マイクロエレクトロニクス株式会社 半導体装置および半導体集積回路装置
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7164189B2 (en) * 2004-03-31 2007-01-16 Taiwan Semiconductor Manufacturing Company Ltd Slim spacer device and manufacturing method
US7192894B2 (en) * 2004-04-28 2007-03-20 Texas Instruments Incorporated High performance CMOS transistors using PMD liner stress
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7241674B2 (en) * 2004-05-13 2007-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7015126B2 (en) 2004-06-03 2006-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
JP4876375B2 (ja) * 2004-07-06 2012-02-15 ソニー株式会社 半導体装置およびその製造方法
US7396767B2 (en) * 2004-07-16 2008-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including silicide regions and method of making same
US7402535B2 (en) * 2004-07-28 2008-07-22 Texas Instruments Incorporated Method of incorporating stress into a transistor channel by use of a backside layer
JP4567396B2 (ja) * 2004-08-10 2010-10-20 セイコーインスツル株式会社 半導体集積回路装置
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7071064B2 (en) * 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060099763A1 (en) * 2004-10-28 2006-05-11 Yi-Cheng Liu Method of manufacturing semiconductor mos transistor device
US20060099765A1 (en) * 2004-11-11 2006-05-11 International Business Machines Corporation Method to enhance cmos transistor performance by inducing strain in the gate and channel
US7265425B2 (en) * 2004-11-15 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device employing an extension spacer and a method of forming the same
US20060118892A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
JP4997698B2 (ja) * 2004-12-09 2012-08-08 富士通セミコンダクター株式会社 応力蓄積絶縁膜の製造方法及び半導体装置
KR100702006B1 (ko) 2005-01-03 2007-03-30 삼성전자주식회사 개선된 캐리어 이동도를 갖는 반도체 소자의 제조방법
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
KR100585180B1 (ko) 2005-02-21 2006-05-30 삼성전자주식회사 동작 전류가 개선된 반도체 메모리 소자 및 그 제조방법
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
KR100703967B1 (ko) * 2005-02-28 2007-04-05 삼성전자주식회사 씨모스 트랜지스터 및 그 제조 방법
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7563701B2 (en) * 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7276755B2 (en) * 2005-05-02 2007-10-02 Advanced Micro Devices, Inc. Integrated circuit and method of manufacture
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
JP2007005627A (ja) * 2005-06-24 2007-01-11 Sony Corp 半導体装置の製造方法
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
JP2007073801A (ja) * 2005-09-08 2007-03-22 Seiko Epson Corp 半導体装置
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
KR100677047B1 (ko) 2005-09-29 2007-02-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
JP4829591B2 (ja) 2005-10-25 2011-12-07 パナソニック株式会社 半導体装置及びその製造方法
US7615432B2 (en) 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
JP4764160B2 (ja) 2005-12-21 2011-08-31 株式会社東芝 半導体装置
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
JP5076119B2 (ja) * 2006-02-22 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8283707B2 (en) * 2006-03-10 2012-10-09 Stmicroelectronics S.A. Reduction of threshold voltage instabilities in a MOS transistor
US7449373B2 (en) * 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
KR101244590B1 (ko) * 2006-05-31 2013-03-25 도쿄엘렉트론가부시키가이샤 플라즈마 cvd 방법, 질화 규소막의 형성 방법 및 반도체 장치의 제조 방법
WO2007142239A1 (ja) 2006-06-08 2007-12-13 Nec Corporation 半導体装置
US7670928B2 (en) * 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
JP2008016475A (ja) * 2006-07-03 2008-01-24 Renesas Technology Corp 半導体装置
JP2008028357A (ja) * 2006-07-24 2008-02-07 Hynix Semiconductor Inc 半導体素子及びその製造方法
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
JP5132928B2 (ja) 2006-12-25 2013-01-30 パナソニック株式会社 半導体装置
US7888194B2 (en) * 2007-03-05 2011-02-15 United Microelectronics Corp. Method of fabricating semiconductor device
JP5003515B2 (ja) 2007-03-20 2012-08-15 ソニー株式会社 半導体装置
JP5195747B2 (ja) * 2007-03-27 2013-05-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US20080293194A1 (en) * 2007-05-24 2008-11-27 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
ES2489615T3 (es) * 2007-12-11 2014-09-02 Apoteknos Para La Piel, S.L. Uso de un compuesto derivado del acido p-hidroxifenil propionico para el tratamiento de la psoriasis
JP5295651B2 (ja) * 2008-06-13 2013-09-18 株式会社東芝 乱数生成装置
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP4770885B2 (ja) 2008-06-30 2011-09-14 ソニー株式会社 半導体装置
JP5569243B2 (ja) 2010-08-09 2014-08-13 ソニー株式会社 半導体装置及びその製造方法
CN102446838A (zh) * 2011-10-12 2012-05-09 上海华力微电子有限公司 一种cmos镍硅化物和金属欧姆接触工艺的制备方法
FR3023970B1 (fr) * 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation d'ouvertures de contact pour un transistor
US10593599B2 (en) * 2018-03-07 2020-03-17 Globalfoundries Inc. Contact structures

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5958819A (ja) * 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS61140175A (ja) * 1984-12-13 1986-06-27 Semiconductor Energy Lab Co Ltd 被膜作製方法
JPS62263643A (ja) * 1986-05-12 1987-11-16 Ishikawajima Harima Heavy Ind Co Ltd レ−ザ−光線を用いた窒化珪素膜の製造方法および装置
US5298287A (en) * 1993-02-05 1994-03-29 United Technologies Corporation Method of making CVD Si3 N4
US5932286A (en) * 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JPH08167605A (ja) * 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
US5712193A (en) * 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
JPH0950986A (ja) 1995-05-29 1997-02-18 Sony Corp 接続孔の形成方法
KR970030477A (ko) * 1995-11-28 1997-06-26 김광호 실리콘 질화막 형성방법
WO1999028529A1 (en) * 1997-12-02 1999-06-10 Gelest, Inc. Silicon based films formed from iodosilane precursors and method of making the same
US6060393A (en) 1997-12-18 2000-05-09 Advanced Micro Devices, Inc. Deposition control of stop layer and dielectric layer for use in the formation of local interconnects
US6645884B1 (en) * 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP3420205B2 (ja) * 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1577889B (zh) * 2003-06-27 2010-05-26 英特尔公司 具有应力施加层的非平面器件及制造方法
CN100386880C (zh) * 2004-07-08 2008-05-07 富士通株式会社 半导体器件与cmos集成电路器件

Also Published As

Publication number Publication date
GB2376564B (en) 2005-05-18
KR20020052980A (ko) 2002-07-04
JP2002198368A (ja) 2002-07-12
GB0130951D0 (en) 2002-02-13
CN1199248C (zh) 2005-04-27
GB2376564A (en) 2002-12-18
TW540119B (en) 2003-07-01
US6656853B2 (en) 2003-12-02
US20020081794A1 (en) 2002-06-27

Similar Documents

Publication Publication Date Title
CN1199248C (zh) 在半导体晶片中制造器件的增强淀积控制
US6962876B2 (en) Method for forming a low-k dielectric layer for a semiconductor device
CN100481321C (zh) 半导体器件制造方法
US20040033678A1 (en) Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
CN1694231A (zh) 半导体元件与其形成方法
CN1242597A (zh) 带有有利于表面状态钝化的层的器件结构
US6376318B1 (en) Method of manufacturing a semiconductor device
CN1822330A (zh) 用于产生栅极叠层侧壁隔片的方法
KR20110095456A (ko) 트랜지스터 및 그 제조 방법
KR100748377B1 (ko) 반도체 디바이스 및 도전성 구조를 형성하기 위한 공정
US7214979B2 (en) Selectively deposited silicon oxide layers on a silicon substrate
CN1422439A (zh) 异质结bicoms集成电路的制造方法
US6893981B2 (en) Method of manufacturing a semiconductor device by RTA process in nitrogen atmosphere
US6524938B1 (en) Method for gate formation with improved spacer profile control
US6350696B1 (en) Spacer etch method for semiconductor device
CN102983104B (zh) Cmos晶体管的制作方法
KR100275733B1 (ko) 2중층스페이서를갖는모스트랜지스터형성방법
CN101752255A (zh) Pmos晶体管的制造方法及栅极掺杂的方法
US6780741B2 (en) Method of forming a novel gate electrode structure comprised of a silicon-germanium layer located between random grained polysilicon layers
CN100517650C (zh) 存储电容器的制造方法
CN1612325A (zh) 半导体器件的制造方法
CN1828945A (zh) 具有富硅氧化硅层的存储器件及其制造方法
CN1909212A (zh) 不需要poly2的用于掩埋条形窗形成的凹陷环状蚀刻
CN1226079A (zh) 半导体器件成膜方法
CN1222754A (zh) 在硅化物膜上进行化学汽相淀积的方法和设备

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
ASS Succession or assignment of patent right

Owner name: NEC ELECTRONICS TAIWAN LTD.

Free format text: FORMER OWNER: NIPPON ELECTRIC CO., LTD.

Effective date: 20050121

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20050121

Address after: Kanagawa, Japan

Applicant after: NEC Corp.

Address before: Tokyo, Japan

Applicant before: NEC Corp.

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050427

Termination date: 20101226