CN1333454C - 具有应变膜的绝缘体上硅装置及用于形成应变膜的方法 - Google Patents

具有应变膜的绝缘体上硅装置及用于形成应变膜的方法 Download PDF

Info

Publication number
CN1333454C
CN1333454C CNB03813263XA CN03813263A CN1333454C CN 1333454 C CN1333454 C CN 1333454C CN B03813263X A CNB03813263X A CN B03813263XA CN 03813263 A CN03813263 A CN 03813263A CN 1333454 C CN1333454 C CN 1333454C
Authority
CN
China
Prior art keywords
silicon
oxide layer
buried oxide
groove
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB03813263XA
Other languages
English (en)
Other versions
CN1659696A (zh
Inventor
W·P·毛斯萨拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1659696A publication Critical patent/CN1659696A/zh
Application granted granted Critical
Publication of CN1333454C publication Critical patent/CN1333454C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76281Lateral isolation by selective oxidation of silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI

Abstract

一种具有应变膜(14)的绝缘体上硅装置,包括基板(10)以及在该基板(10)上的埋藏氧化物层(12)。硅岛(18)系形成于该埋藏氧化物层(12)上,并且藉由沟槽(16)而将该硅岛(18)彼此隔开,该埋藏氧化物层(12)具有在该沟槽(16)正下方的凹处(22),材料(24)填补该凹处(22)与该沟槽(16),此材料(24)与形成于该埋藏氧化物层(12)材料不同,该材料(24)是导入净应变量于该硅岛(18)中,藉此修正在该应变膜(14)中的载流子的电性并且改善装置性能。

Description

具有应变膜的绝缘体上硅装置及用于形成应变膜的方法
技术领域
本发明有关半导体制造的领域,尤指用于绝缘体上硅(silicon-on-insulator,SOI)装置应变膜(strained device film)的形成者。
背景技术
用于互补性金属氧化物半导体(complementarymetal-oxide-semiconductor,CMOS)集成电路(integrated circuits,ICs)的绝缘体上硅(SOI)技术的优点已广见于文献中。通常,SOI技术降低在源极/漏极与基板之间不要的p-n接合面电容,与其它用于CMOS集成电路的现有技术相比,SOI技术可降低将近百分的25的p-n接合面电容。再者,在维持装置性能相等于形成于原硅(bulk-silicon)基板上的相似装置的性能的同时,由SOI技术所制造的CMOS集成电路具有较少的主动电流消耗量。其它SOI技术的优点包括抑制短沟道效应(short channel effect)、抑制体效应(body-effect)、高穿孔测试(highpunch-through immunity)、以及降低闩锁(latch-up)与数据读取错误(soft errors)。随着对以电池操作的装置的需要增加,因SOI装置的高速度仅需要低功率(low power requirements)之故,使得SOI技术渐渐地广受欢迎。
有许多不同的技术可形成SOI晶圆,这些技术中包括SIMOX,SIMOX系与植入氧技术不同。晶圆打线系用于在基板中形成隔绝层的另一种技术。透过一连串的蚀刻与氧化步骤所形成的硅岛可提供侧向隔绝结构。
在标准的金属氧化半导体场效应晶体管(MOSFET)技术中,系降低沟道长度以与门极介电厚度以改善电流驱动及转换性能。因MOSFET装置的载流子迁移率将直接影响输出电流以及转换性能之故,MOSFET装置的载流子迁移率为一重要的参数。因此,便以增加装置性能的其它方式来加强载流子迁移率。而此载流子迁移率的加强已藉由应变该硅薄膜而提供于某些装置中,藉由该硅薄膜的压缩应力或者硅薄膜的抗拉应力可提供净应变(net strain)。
因此本发明欲提供SOI技术及硅岛的隔绝优点,并且亦提供透过加强载流子迁移率所获得的改进的装置性能。
发明内容
本发明的目的在于提供一种应变膜在具有硅岛的绝缘体上硅装置中,以藉由加强在硅薄膜中的载流子迁移率而增加装置性能。
本发明的另一目的在于提供一种形成应变膜的方法,以满足本发明的实施例中提供一种具有应变膜的绝缘体上硅装置的目的及其它目的。该方法的步骤包括蚀刻在SOI结构的埋藏氧化物层中的凹处,而该SOI结构具有基板、在该基板上的埋藏氧化物层、以及在该埋藏氧化物层上的硅层。该硅层具有沟槽,而且在该埋藏氧化物层中的凹处的蚀刻包括蚀刻贯穿该硅层中的沟槽。在该埋藏氧化物层中的凹处与该沟槽系以导入在该硅层中的净应变量的材料所填补。
藉由以其它材料取代部份的埋藏氧化物层,可导入在该硅层中的净应变量以提供所欲的应力的量以及形式。举例来说,在某些实施例中,氮化物系沉积于该凹处中以及在该硅层的埋藏氧化物层与该沟槽中。改变该材料将改变应力的量以及形式(诸如抗拉应力或压缩应力的其中一者),而将制造在该硅层中的净应变量。因此,本发明藉由加强在SOI装置中所创造的载流子迁移率而改善了装置性能。
本发明的另一目的在于提供一种具有应变膜的绝缘体上硅装置,以满足本发明的上述目的。该具有应变膜的绝缘体上硅装置包括基板以及在该基板上的埋藏氧化物层。在该埋藏氧化物层上系设有硅岛。该硅岛系以沟槽彼此隔开,该埋藏氧化物层则具有在该沟槽正下方的凹处。将材料填在该凹处以及该沟槽,此材料导入净应变量于该硅岛中。
本发明的前述及其它特征、态样以及优点将以所附图式配合本发明下列详细说明而更易于了解。
附图说明
第1图表示根据本发明实施例所架构的SOI装置原型的概要的横截面图。
第2图显示第1图于该硅层中已蚀刻沟槽以形成硅岛后的结构。
第3图显示根据本发明实施例的第2图于以侧蚀来蚀刻该埋藏氧化物层后的结构。
第4图显示根据本发明实施例的第3图于接着进行其它材料的沉积与平坦化后的结构。
第5图显示根据本发明实施例的第4图于硅岛上形成完整的装置后的结构。
第6及第7图显示藉由不同栅极介电厚度而降低栅极介电泄漏的方法。
具体实施方式
本发明致力于解决有关改善SOI装置的装置性能的问题,在某种程度上,本发明系藉由在硅岛正下方以及硅岛之间以不同的材料取代部份隔绝氧化物,以达成SOI装置的装置性能改善。在本发明的某些实施例中,系以底切方式透过在硅岛与硅层间的沟槽而进行侧蚀以蚀刻埋藏氧化物层。在蚀刻该埋藏氧化物层之后,接着沉积材料于沟槽以及形成于该埋藏氧化物层中的凹部之内。该材料系经选择而提供所欲应力(抗拉应力或压缩应力的其中一者)的量至该硅岛,以导入净应变量于硅薄膜中。该应变的硅已加强载流子迁移率,藉此改善形成于该应变的硅上的装置的装置性能。
第1图描述根据本发明实施例所架构的SOI装置原型(precursor)的概要的横截面图。该原型包括基板10,该基板10可例如为硅基板,于该基板10的上方系形成埋藏氧化物层12,而硅薄膜或硅层14则系形成于该埋藏氧化物层12上。该原型可以现有方式形成。
在第2图中,系于该硅层14中蚀刻出沟槽16,应用现有的蚀刻技术及化学作用来蚀刻该硅层14并且在该埋藏氧化物层12上停止蚀刻。该沟槽16系将该硅层14分隔出硅岛18,所进行的蚀刻例如为现有的非等向性蚀刻,该非等向性蚀刻在该硅岛18上制造出垂直侧壁。该非等向性蚀刻可为反应性离子蚀刻(Reactive Ion Etch,RIE),反应性离子蚀刻指向性地蚀刻该硅层14。该硅岛18的宽度系根据现有技术而选定者。
在将该沟槽16蚀刻进入该硅层14中以创造该硅岛18后,以侧蚀工艺来蚀刻该埋藏氧化物层12。在第3图中,系描述侧蚀的结果。可进行现有的蚀刻技术以蚀刻该埋藏氧化物层12。可应用适当的非等向性蚀刻,以在该埋藏氧化物层12中展现出底切(如组件符号20所指示者)。因此,便可进行蚀刻以在该埋藏氧化物层12之内创造凹处22。在该硅层14中的凹处22包括在该沟槽16正下方的沟槽16的部份,并且包括在该硅岛18正下方的部份。以贯穿该沟槽16而蚀刻至该埋藏氧化物层12的方式进行蚀刻工艺,并且进行蚀刻直到在该埋藏氧化物层12中产生该底切20。亦可选择性应用等向蚀刻工艺或适当的非等向性蚀刻工艺其中一者。可控制底切量以影响在该硅岛18中的应变量,换言的,除了选择待沉积的材料外,在该埋藏氧化物层12中所产生的凹处22的大小将对导入于该硅岛18中的应变造成影响。
在该埋藏氧化物层12中形成该底切20,并且在该硅岛18间形成该沟槽16后,导入新材料24以取代已从该埋藏氧化物层12中蚀刻的氧化物。可应用诸如电浆辅助化学气相沉积(Plasma Enhanced ChemicalVapor Deposition,PECVD)的现有沉积技术,将该材料24沉积于该凹处22及该沟槽16中。该材料24系根据材料的本质特性(IntrinsicProperties)所选择,而该本质特性将对导入于该硅岛18中的应变的净量造成影响。以作为示范性材料而言,氮化物可用以填补该凹处22及藉由该沟槽16所形成的间隙。由于氮化物的本质特性之故,氮化物提供抗拉应力(Tensile Stress)于第4图所描述的结构中。亦可选择其它提供不同抗拉应力的量或不同形式的应力(诸如压缩应力)的材料。熟习该项技艺者可基于材料的本质特性来选择适当的材料,以制造出所欲的应力的量与所欲的的应力形式。
该材料24系藉由现有诸如化学机械研磨(Chemical MechanicalPolishing,CMP)的平坦化技术予以平坦化,以产生第4图的结构。在第4图的结构中,藉由该取代的材料24所产生的应力导入了于该硅岛18中的应变的净量。此应变的净量改变在该硅岛18的硅薄膜中的载流子(Carrier)电性(Electrical Properties)。因此,随后所形成的SOI装置的装置性能将提高。
第5图显示第4图在该硅岛18上形成半导体装置26后的结构。由于该硅岛18的应变系藉由该取代的材料24导入该埋藏氧化物层12中以及该硅岛18之间,因此改善在该装置26中的沟道迁移率,使得该装置26展现出性能增加。
这些材料仅为示范之用,在不背离本发明的精神及范畴下可使用其它的材料。
本发明的其它态样提供一种藉由不同栅极介电厚度以降低栅极介电泄漏的方法。栅极介电泄漏通常发生于漏极与源极区域附近,当栅极介电泄漏发生于沟道中央时,会造成四或五级(order)的电量损失(magnitude less)。由于隧穿(tunneling)系视介电厚度以指数方式传送数据,因此在该漏极/源极边缘的介电必须较厚,方可抑制栅极泄漏。在别处的介电则必须较薄,以增加沟道反转的栅极控制。
在退火延伸植入后,系在具有可控制蚀刻速率的缓冲用HF溶液中从栅极氧化物的侧边进行侧向蚀刻。随后则进行延伸接合面的边缘的蚀刻。接下来,将栅极及硅两者均以低温(例如,小于750℃)进行氧化,以避免延伸掺杂物扩散。所掺杂的多晶硅及n+硅将比轻微掺杂的p沟道更快地氧化。
在氧化作用之后,于该n+区域之上形成具25至30埃(Angstroms)厚的介电。该厚度可彻底地降低大量泄漏并且亦降低米勒电容(Millercapacitance)。接着进行间隔件形成、漏极/源极植入与硅化(silicidation)的工艺,此工艺系显示于第6及第7图中。
虽然本发明以详细地描述并用图说明,可清楚地了解的是,本发明仅以此作为阐明与范例者,而非用以限制本发明,本发明的范围由所附的权利要求书为限。

Claims (7)

1.一种用于形成应变膜的方法,包括下列步骤:
蚀刻在绝缘体上硅结构的埋藏氧化物层(12)中的凹处(22),该绝缘体上硅结构具有基板(10)、在该基板(10)上的埋藏氧化物层(12)、以及在该埋藏氧化物层(12)上的硅层(14),该硅层(14)具有沟槽(16),而且在该埋藏氧化物层(12)中的凹处(22)的蚀刻包括蚀刻贯穿在该硅层(14)中的沟槽(16)及蚀刻硅层(14)下方的底切(20);以及
以导入在该硅层(14)中的净应变量材料(24)填补在该埋藏氧化物层(12)中的凹处(22)与该沟槽(16)。
2.如权利要求1所述的方法,其中,蚀刻该凹处(22)的步骤包括等向性蚀刻该埋藏氧化物层(12)。
3.如权利要求1所述的方法,其中,该材料(24)为氮化物。
4.一种具有应变膜的绝缘体上硅装置,包括:
基板(10);
在该基板(10)上的埋藏氧化物层(12);以及
在该埋藏氧化物层(12)上的硅岛(18),该硅岛(18)是通过沟槽(16)而彼此隔开,该埋藏氧化物层(12)具有在基板上且只在该沟槽(16)正下方的凹处(22),该凹处(22)包括延伸到部分硅岛(18)下方的埋藏氧化物层(12)中的底切区(20);以及
填补该凹处(22)与该沟槽(16)的材料(24),该材料(24)导入在该硅岛(18)中的净应变量。
5.如权利要求4所述的绝缘体上硅装置,还包括在该硅岛(18)上的半导体装置(26)。
6.如权利要求4所述的绝缘体上硅装置,其中,该材料(24)为氮化物。
7.如权利要求4所述的绝缘体上硅装置,其中,该凹处(22)包括在该沟槽(16)正下方的第一部份以及在该硅岛(18)正下方的第二部份。
CNB03813263XA 2002-06-25 2003-06-04 具有应变膜的绝缘体上硅装置及用于形成应变膜的方法 Expired - Lifetime CN1333454C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/178,542 2002-06-25
US10/178,542 US6680240B1 (en) 2002-06-25 2002-06-25 Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide

Publications (2)

Publication Number Publication Date
CN1659696A CN1659696A (zh) 2005-08-24
CN1333454C true CN1333454C (zh) 2007-08-22

Family

ID=29999123

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB03813263XA Expired - Lifetime CN1333454C (zh) 2002-06-25 2003-06-04 具有应变膜的绝缘体上硅装置及用于形成应变膜的方法

Country Status (8)

Country Link
US (1) US6680240B1 (zh)
EP (1) EP1516362A2 (zh)
JP (1) JP4452883B2 (zh)
KR (1) KR100996725B1 (zh)
CN (1) CN1333454C (zh)
AU (1) AU2003238916A1 (zh)
TW (1) TWI289895B (zh)
WO (1) WO2004001798A2 (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1309989B1 (en) * 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
WO2002082514A1 (en) * 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
AU2003222003A1 (en) * 2002-03-14 2003-09-29 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
FR2847715B1 (fr) * 2002-11-25 2005-03-11 Commissariat Energie Atomique Circuit integre comportant des sous-ensembles connectes en serie
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US7157774B2 (en) * 2003-01-31 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Strained silicon-on-insulator transistors with mesa isolation
US6870179B2 (en) * 2003-03-31 2005-03-22 Intel Corporation Increasing stress-enhanced drive current in a MOS transistor
US7081395B2 (en) * 2003-05-23 2006-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon strain engineering accomplished via use of specific shallow trench isolation fill materials
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
US7579280B2 (en) * 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7135372B2 (en) * 2004-09-09 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon device manufacturing method
US7071064B2 (en) * 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
JP4603845B2 (ja) * 2004-10-12 2010-12-22 Okiセミコンダクタ株式会社 半導体装置の製造方法
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7306997B2 (en) * 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) * 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7563701B2 (en) * 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7759739B2 (en) * 2005-10-27 2010-07-20 International Business Machines Corporation Transistor with dielectric stressor elements
GB2445511B (en) * 2005-10-31 2009-04-08 Advanced Micro Devices Inc An embedded strain layer in thin soi transistors and a method of forming the same
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US7449373B2 (en) * 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7670928B2 (en) * 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
US7544594B2 (en) * 2006-06-28 2009-06-09 Intel Corporation Method of forming a transistor having gate protection and transistor formed according to the method
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
KR100835413B1 (ko) * 2006-12-05 2008-06-04 동부일렉트로닉스 주식회사 반도체 소자의 미세 비아홀 형성방법
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
KR101052868B1 (ko) * 2008-02-29 2011-07-29 주식회사 하이닉스반도체 Soi 소자 및 그의 제조방법
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20100019322A1 (en) * 2008-07-23 2010-01-28 International Business Machines Corporation Semiconductor device and method of manufacturing
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
CN102024706B (zh) * 2009-09-22 2012-06-20 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8258031B2 (en) * 2010-06-15 2012-09-04 International Business Machines Corporation Fabrication of a vertical heterojunction tunnel-FET
US9406798B2 (en) * 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
JP6005364B2 (ja) * 2012-02-06 2016-10-12 ラピスセミコンダクタ株式会社 半導体装置の製造方法及び半導体装置
US9515181B2 (en) 2014-08-06 2016-12-06 Qualcomm Incorporated Semiconductor device with self-aligned back side features
JP6559745B2 (ja) 2017-08-23 2019-08-14 株式会社東芝 半導体デバイス検査装置、半導体デバイス検査方法、そのプログラム、半導体装置およびその製造方法
JP2018032877A (ja) * 2017-11-29 2018-03-01 ラピスセミコンダクタ株式会社 半導体装置
JP2019125747A (ja) 2018-01-18 2019-07-25 株式会社東芝 半導体装置およびその製造方法
KR102396978B1 (ko) * 2018-11-16 2022-05-11 삼성전자주식회사 반도체 장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2327146A (en) * 1997-07-10 1999-01-13 Ericsson Telefon Ab L M Thermal insulation of integrated circuit components
JP2002124564A (ja) * 2000-09-12 2002-04-26 Zarlink Semiconductor Ltd 半導体デバイス

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4604162A (en) * 1983-06-13 1986-08-05 Ncr Corporation Formation and planarization of silicon-on-insulator structures
US5270265A (en) * 1992-09-01 1993-12-14 Harris Corporation Stress relief technique of removing oxide from surface of trench-patterned semiconductor-on-insulator structure
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5811283A (en) * 1996-08-13 1998-09-22 United Microelectronics Corporation Silicon on insulator (SOI) dram cell structure and process
US6211039B1 (en) 1996-11-12 2001-04-03 Micron Technology, Inc. Silicon-on-insulator islands and method for their formation
US6045625A (en) * 1996-12-06 2000-04-04 Texas Instruments Incorporated Buried oxide with a thermal expansion matching layer for SOI
JP3676910B2 (ja) * 1997-07-30 2005-07-27 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体装置及び半導体アイランドの形成方法
US6054343A (en) * 1998-01-26 2000-04-25 Texas Instruments Incorporated Nitride trench fill process for increasing shallow trench isolation (STI) robustness
JP2000294623A (ja) * 1999-04-02 2000-10-20 Fuji Electric Co Ltd 誘電体分離基板の製造方法
JP2000332099A (ja) * 1999-05-21 2000-11-30 Matsushita Electronics Industry Corp 半導体装置およびその製造方法
US6245600B1 (en) * 1999-07-01 2001-06-12 International Business Machines Corporation Method and structure for SOI wafers to avoid electrostatic discharge
US6573565B2 (en) * 1999-07-28 2003-06-03 International Business Machines Corporation Method and structure for providing improved thermal conduction for silicon semiconductor devices
US6426252B1 (en) * 1999-10-25 2002-07-30 International Business Machines Corporation Silicon-on-insulator vertical array DRAM cell with self-aligned buried strap
US20020046985A1 (en) * 2000-03-24 2002-04-25 Daneman Michael J. Process for creating an electrically isolated electrode on a sidewall of a cavity in a base
US6403482B1 (en) * 2000-06-28 2002-06-11 International Business Machines Corporation Self-aligned junction isolation
TW501227B (en) * 2000-08-11 2002-09-01 Samsung Electronics Co Ltd SOI MOSFET having body contact for preventing floating body effect and method of fabricating the same
DE10040464A1 (de) * 2000-08-18 2002-02-28 Infineon Technologies Ag Grabenkondensator und Verfahren zu seiner Herstellung
JP2002076336A (ja) * 2000-09-01 2002-03-15 Mitsubishi Electric Corp 半導体装置およびsoi基板
DE10054109C2 (de) * 2000-10-31 2003-07-10 Advanced Micro Devices Inc Verfahren zum Bilden eines Substratkontakts in einem Feldeffekttransistor, der über einer vergrabenen Isolierschicht gebildet ist
US6506620B1 (en) * 2000-11-27 2003-01-14 Microscan Systems Incorporated Process for manufacturing micromechanical and microoptomechanical structures with backside metalization
US6524929B1 (en) * 2001-02-26 2003-02-25 Advanced Micro Devices, Inc. Method for shallow trench isolation using passivation material for trench bottom liner

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2327146A (en) * 1997-07-10 1999-01-13 Ericsson Telefon Ab L M Thermal insulation of integrated circuit components
JP2002124564A (ja) * 2000-09-12 2002-04-26 Zarlink Semiconductor Ltd 半導体デバイス

Also Published As

Publication number Publication date
JP4452883B2 (ja) 2010-04-21
WO2004001798A3 (en) 2004-07-29
KR20050013248A (ko) 2005-02-03
AU2003238916A1 (en) 2004-01-06
TWI289895B (en) 2007-11-11
JP2005531144A (ja) 2005-10-13
US20040018668A1 (en) 2004-01-29
CN1659696A (zh) 2005-08-24
AU2003238916A8 (en) 2004-01-06
KR100996725B1 (ko) 2010-11-25
US6680240B1 (en) 2004-01-20
EP1516362A2 (en) 2005-03-23
TW200400564A (en) 2004-01-01
WO2004001798A2 (en) 2003-12-31

Similar Documents

Publication Publication Date Title
CN1333454C (zh) 具有应变膜的绝缘体上硅装置及用于形成应变膜的方法
US7612405B2 (en) Fabrication of FinFETs with multiple fin heights
US7355262B2 (en) Diffusion topography engineering for high performance CMOS fabrication
US6787423B1 (en) Strained-silicon semiconductor device
WO2004068586A1 (en) Mosfet device with tensile strained substrate and method of making the same
CN102169896B (zh) 一种沟槽型功率mos晶体管的制造方法
JP2008041901A (ja) 半導体装置及びその製造方法
US20190378910A1 (en) Semiconductor structure and manufacturing method for same
US8946819B2 (en) Silicon-on-insulator integrated circuits with local oxidation of silicon and methods for fabricating the same
CN101034709B (zh) 高耐压半导体集成电路装置、电介质分离型半导体装置
US6642536B1 (en) Hybrid silicon on insulator/bulk strained silicon technology
CN104576501B (zh) 一种半导体器件及其制造方法
KR20000003493A (ko) 이중막 실리콘웨이퍼를 이용한 금속-산화막-반도체 전계효과트랜지스터 제조방법
CN103367227B (zh) 半导体器件制造方法
CN113013228A (zh) 一种提升ldmos性能的方法
CN103367226A (zh) 半导体器件制造方法
CN106558489A (zh) 一种纳米线结构、围栅纳米线器件及其制造方法
CN102751292B (zh) 一种基于三多晶SiGe HBT的混合晶面应变BiCMOS集成器件及制备方法
CN104282754A (zh) 高性能高集成度l形栅控肖特基势垒隧穿晶体管
CN102723342B (zh) 一种混合晶面垂直沟道应变BiCMOS集成器件及制备方法
CN102723341B (zh) 一种混合晶面应变Si垂直沟道BiCMOS集成器件及制备方法
CN101834158B (zh) 绝缘体上硅深硅槽隔离结构的制备工艺
CN103137537A (zh) 一种图形化全耗尽绝缘体上Si/CoSi2衬底材料及其制备方法
JP2000269322A (ja) 半導体装置及びその製造方法
CN115602729A (zh) 横向双扩散金属氧化物半导体器件及制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: GLOBALFOUNDRIES SEMICONDUCTORS CO., LTD

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES CORPORATION

Effective date: 20100721

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA STATE, THE USA TO: GRAND CAYMAN ISLAND, BRITISH CAYMAN ISLANDS

TR01 Transfer of patent right

Effective date of registration: 20100721

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES Inc.

Address before: California, USA

Patentee before: ADVANCED MICRO DEVICES, Inc.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210310

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.

CX01 Expiry of patent term

Granted publication date: 20070822

CX01 Expiry of patent term