CN1333308C - 控制蚀刻工序的精确度和再现性的方法 - Google Patents

控制蚀刻工序的精确度和再现性的方法 Download PDF

Info

Publication number
CN1333308C
CN1333308C CNB2004100837703A CN200410083770A CN1333308C CN 1333308 C CN1333308 C CN 1333308C CN B2004100837703 A CNB2004100837703 A CN B2004100837703A CN 200410083770 A CN200410083770 A CN 200410083770A CN 1333308 C CN1333308 C CN 1333308C
Authority
CN
China
Prior art keywords
etching
substrate
reproducible method
accuracy
grid structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100837703A
Other languages
English (en)
Other versions
CN1609711A (zh
Inventor
大卫·幕伊
刘炜
佐佐野弘树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1609711A publication Critical patent/CN1609711A/zh
Application granted granted Critical
Publication of CN1333308C publication Critical patent/CN1333308C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

本发明的实施例是有关于一种在工序基座(例如群集工具(cluster tool))中蚀刻的方法,此方法可实时(in-situ)得到有力的蚀刻前数据和蚀刻后数据。此方法的步骤包括取得基底上的图案在蚀刻前的关键尺寸测量值,蚀刻该图案,修整被蚀刻的基底以减少和/或移除蚀刻时沉积在图案侧壁上的聚合物,取得蚀刻后的关键尺寸的测量值。所测得的关键尺寸可用来调整蚀刻工序,以改善组件工序的精确度与再现性。

Description

控制蚀刻工序的精确度和再现性的方法
技术领域
本发明是有关于一种半导体基底工序系统,且特别是有关于一种可以控制在半导体基底工序系统所进行的蚀刻工序的精确度和再现性的方法。
背景技术
为了增加集成电路的操作速度,组件(例如是晶体管、电容等)愈来愈小型化。一种制造此种组件的方法是在一材料层(即下层)上形成一层图案掩模(例如光阻掩模),然后,以图案化的光阻掩模围蚀刻掩模蚀刻材料层。通常,蚀刻掩模是复制其下层将被形成(蚀刻)的结构,因此,蚀刻掩模与下层将形成的结构具有相同的形状尺寸。
蚀刻工序的工序变异可能会使得一组(即一批)基底其蚀刻后的结构的尺寸的统计分布变宽(亦即σ较大,其中σ为标准差)。一种控制蚀刻工序的精确度和再现性的方法是测量蚀刻掩模和所形成的结构的最小宽度,例如是线、柱、开口、线和线之间的间隙等。此处的最小宽度即已知的“关键尺寸”或“CD”。在先进的超大尺寸积体(ULSI)电路中,关键尺寸通常约为20至200nm的亚微米尺寸。
蚀刻工序处方的参数通常是依照即将蚀刻的基底上的图案化光阻掩模的关键尺寸测量值的统计结果来选择。在进行蚀刻工序之后,移除图案化光阻掩模与蚀刻后的残留物,测量蚀刻后的结构的尺寸并平均之,然后再依照此结果调整后续的蚀刻工序处方。通常,光阻掩模和蚀刻后的残留物是以湿式剥除法移除。湿式剥除工序是一种非实时(exsitu)工序,为改善蚀刻工序的精确度和再现性,所接收的校正回馈通常会产生严重的延迟(例如1-2小时)。
因此,目前需要一种改善方法,以在半导体基底工序系统中制造半导体组件期间控制蚀刻工序的精确度和再现性。
发明内容
本发明提出一种控制蚀刻工序精确度和再现性的方法。本发明的实施例是在工序基座(例如群集工具)上实施。此方法可实时(in-situ)得到有力的蚀刻前数据和蚀刻后数据。此方法的步骤包括取得基底上的图案在蚀刻前的关键尺寸测量值,蚀刻该图案,修整被蚀刻的基底以减少和/或移除蚀刻时沉积在图案侧壁上的聚合物,取得蚀刻后的关键尺寸的测量值。所测得的关键尺寸值可用来调整蚀刻工序,以改善组件工序的精确度与再现性。
在本发明的另一实施例中,蚀刻方法的步骤包括测量一部分的图案化的掩模的尺寸,依照测量值改变工序处方以修整图案化的掩模,再以修整后的图案化掩模为蚀刻掩模以蚀刻一层或多层材料层,测量所蚀刻的结构的尺寸,及依照蚀刻后测量的结果调整修整处方及/或蚀刻处方。在侧壁的残留物减少後,可采用光学散测测量技术进行蚀刻后的测量。因此,在基底进行蚀刻之后,可立刻进行蚀刻后的测量(例如在蚀刻后所进行的湿式清洁程序之前),以提供实时回馈并提供用于蚀刻后续的基底的工序监测信息。在一应用例中,此方法可用于控制蚀刻场效应晶体管的栅极结构的精确度及再现性。
为让本发明的上述和其它目的、特征和优点能更明显易懂,下文特举较佳实施例,并配合所附图式,作详细说明如下。
附图说明
图1是依照本发明实施例所绘示的一种控制蚀刻工序的精确度和再现性的方法的流程图。
图2A-2D是绘示一种是依照图1的方法在基底上形成具有场效应晶体管的栅极结构的制造流程剖面图。
图3是绘示一种可用来实施本发明的蚀刻装置的示意图。
图4是绘示一种可用来实施本发明的蚀刻掩模剥除装置的示意图。
图5是绘示一种可用以实施本发明集成半导体基底工序系统的上视图。
为使本发明可被清楚了解,图式中相同的组件是以相同的标号来表示。
然而,值得注意的是,本发明的图式仅用以说明本发明较佳实施例,而非用以限制本发明的范围,本发明亦可用于其它等效的实施例中。
主要元件符号说明:
100:程序
101、102、104、106、108、110、112、114、116、117、118:步骤
200:基底
202:堆栈膜
203:表面
204:栅介电层
206:栅极层
207:聚合残留层
209、211、215:宽度
212:图案掩模
213:抗反射涂布(ARC)光学膜
214:硬掩模层
216:栅极
217:厚度
218、220:轮廓
219:硬掩模
232、234:区域
236:信道区
300、400:反应器
310、402:工序腔室
310:导电壳体
312:感应线圈组件
314:基底
316:基底支座、阴极
318:等离子体电源
319、324:匹配网络
320:顶板
322:偏压电源
326:入口
327:节流阀
330:壁面
334:电性接地
336:真空泵
338:气体面板
340:控制器
342:内存
344:中央工序单元
346:支持电路(support circuit)
348:气体源
349:气体导管
350:气体混合物
404:基底基座
410、412:部分
414:真空泵
416:侧壁
418:壳盖
420:气体配送板
422:气体混合空间
424:反应空间
426:基底
428:充气灯
430:嵌入式电阻加热器
432:导管
434:供应源
436:排出口
438:节流阀
440:支持系统
442:远程等离子体腔室
444:气体面板
446:电源
448:射频产生器
450:调整组件
452:涂布器
454:中央工序单元
456:内存
458:支持电路
460:接地的参考点
462:等离子体
464:工序气体
466:导管
468:入口
500:集成的工序系统
502:加载/载出模块
506:基底传送盒
510、512、514、516、518:工序模块
522:加载互锁真空室
524:工厂接口
526:测量模块
528:工序空间
530:机器手臂
540:系统控制器
具体实施方式
本发明实施例是有关于一种在工序基座(例如是群集工具)中蚀刻的方法,此方法可实时(in-situ)得到有力的蚀刻前数据和蚀刻后数据。此方法的步骤包括取得基底上的图案在蚀刻前的关键尺寸测量值,蚀刻该图案,修整被蚀刻的基底以减少和/或移除蚀刻时沉积在图案侧壁上的聚合物,取得蚀刻后的关键尺寸的测量值。所测得的关键尺寸可用来调整蚀刻工序,以改善组件工序的精确度与再现性。
本发明可以精确且准确地调整基底之间的蚀刻工序,以增进工序的再现性。通常本发明是用于在半导体基底工序系统中制造超大型积体半导体组件和电路。
图1是依照本发明实施例所绘示的一种控制蚀刻工序的精确度和再现性的方法的流程图,如程序(sequence)100所示。程序100包括数个在薄膜上所进行的蚀刻工序步骤。
图2A-2D是绘示具有场效应晶体管的栅极结构的基底的制造流程剖面图,其中所蚀刻的栅极的精确度和再现性是依照程序100的步骤来控制。在图2A-2D的剖面图是简要地表示本发明的工序,其并未依照比例来绘示。为了更清楚了解本发明,读者可同时参照图1和图2A-2D。
在一应用例中,以程序100进行蚀刻的场效应晶体管的栅极,例如是一互补式金氧半导(CMOS)场效应晶体管的栅极。
请参照图2A,程序100始于步骤101,接着进行步骤102,在基底200上形成一层图案掩模212,例如是光阻图案掩模。图案掩模212可包括一层抗反射涂布(ARC)光学膜213(仅绘示于图2A,以虚线表示)。基底200,例如是硅基底(硅晶片),其具有区域232与区域234(虚线绘示之处),此二区域为后续栅极结构形成后进行离子植入工序以形成源极和漏极之处。各个即将形成的晶体管的源极区和漏极区232、234之间的区域为信道区236。基底200更包括一个用来形成栅极结构的堆栈膜202与一层光学硬掩模层214。堆栈膜202通常包括一层栅极层206和一层栅介电层204。
在一实施例中,栅极层206为一层厚度约为500埃至3000埃的掺杂复晶硅,栅介电层204为厚度约为10埃至60埃的介电材料,例如是氧化硅(SiO2)。或者,栅介电层204亦可包括一种或多种介电常数大于4.0的高介电常数材料,例如是二氧化铪(HfO2)、氮氧化硅铪(HfSiON)、钛酸钡锶(BaSrTiO3或BST)、锆酸钛酸铅(Pb(ZrTi)O3或PZT)等。然而,值得注意的是,堆栈膜202可包括其它材料所形成的薄膜和具有不同厚度的薄膜。
硬掩模层214可包括例如是氧化硅(SiO2)、n-掺杂氧化硅、氮氧化硅(SiOxNy),其中x和y为整数)、氮化硅(Si3O4)、二氧化铪(HfO2)、非晶型碳(即α-碳)等。
堆栈膜202的各膜层和硬掩模层214可以以习知的沉积技术来形成,例如是原子层沉积法(ALD)、物理气相沉积法(PVD)、化学气相沉积法(CVD)、等离子体增强型化学气相沉积法(PECVD)等。CMOS场效应晶体管可以采用CENTURA、ENDURA的工序模块或是其它的工序系统来制造,这一些系统可由美国加州的应用材料公司取得。
若基底200上有硬掩模层214时,通常可以图案掩模212作为形成硬掩模219和蚀刻栅极层206的蚀刻掩模。之后,再以硬掩模219作为蚀刻栅介电层204的蚀刻掩模。
光阻掩模212可以采用微影工序来形成。由于微影工序的光学限制,通常所形成的光阻掩模会复制其下层预定蚀刻的结构。在一实施例中,所形成的光阻掩模有一最小宽度209,其大于预定蚀刻的结构的宽度。在进行微影工序之后,以光阻掩模212作为蚀刻硬掩模层214或堆栈膜202的蚀刻掩模之前,对光阻掩模212进行修整,以使其具有预定的宽度211(以下说明请参考步骤106和图2B)。
步骤104,是测量每一批即将进行蚀刻的基底中具有最小宽度209(即关键尺寸,或称CD)的图案掩模212的尺寸,其测量(即蚀刻前的测量)的方法例如是可以采用光学测量工具。通常,光学测量工具是使用一种或多种非破坏性的测量技术,例如是光谱、干涉仪、散射仪、反射仪、椭圆仪等。这一些光学测量工具可以是集成半导体基底工序系统的一个组件。在一实施例中,光学测量工具为CENTURA系统的TRANSFORMATM测量系统,其是采用散射测量技术来进行关键尺寸的量测。
TRANSFORMATM测量系统可由美国加州应用材料公司取得,其揭露于2002年11月26日所申请的美国专利第6,486,492号以及2002年5月14日所申请的美国专利第6,388,253号专利中,此二专利并入本案参考。通常,关键尺寸的测量是针对基底上数个重要的区域(例如5至9个或更多个区域)来进行的,然后再取其平均值。
步骤106,是修整图案化的蚀刻掩模212,以使其具有预定的宽度211(图2B)。步骤106是依据步骤104所进行的蚀刻后测量的结果来决定所采用的修整工序处方。尤其是,这一些测量的结果,可以以半导体基底工序系统的系统控制器的工序器或是蚀刻反应器的控制器的工序器来决定其修整图案化的蚀刻掩模212的工序处方,以使其具有所需的宽度211。
修整工序通常是在光阻掩模212上进行的等向性蚀刻工序(例如是等向性等离子体蚀刻工序),以缩减其宽度209。修整工序的实例例如是2003年1月6日提出申请的美国专利申请号第10/338,251号所揭露者,其内容并入本案参考。请参照图2B,修整图案掩模212,使其修整后的宽度211小于微影的图案掩模212的宽度209(如图2A所示)。
修整工序可以采用等离子体蚀刻反应器来进行的,例如是CENTURA系统的去耦合等离子体源(DPS)II模块。DPSII模块(其说明如后图3所述)所使用的电源(即感应耦合天线)可产生高密度感应耦合等离子体。为了判断蚀刻工序的终点,DPSII模块可包括一个终点侦测系统,其可监测特定波长所发射的等离子体、控制工序时间或进行雷射干涉等。
当光学抗反射涂布层(ARC)213或硬掩模层214存在时,这一些材料层可在同一个蚀刻反应室中同时进行蚀刻。抗反射涂布层213的材质为有机材料(例如是聚醯胺、聚砜等)时,其可与光阻掩模212同时被蚀刻并修整成具有宽度211者。抗反射涂布层213为无机材料(例如是氮化硅(Si3N4)、氮氧化硅(SiON)、碳化硅(SiC)等)时,其可在蚀刻反应器(即同时(in-situ))中,采用习知的工序处方,以修整后的光阻图案掩模作为蚀刻掩模,同时与硬掩模层214被非等向性蚀刻成具有宽度211者。或者,无机抗反射涂布层213或硬掩模层214可和下层的栅极层206同时一起蚀刻。
步骤108,是以习知适于蚀刻的蚀刻剂来蚀刻堆栈膜202的下层材料层。通常,在进行此蚀刻工序时会产生一些非挥发性的副产物,形成蚀刻后残留物(post-etch residue),而残留在所蚀刻的结构的侧壁及基底上。
请参照图2C,步骤108,是以等离子体蚀刻复晶硅(Si)栅极层206,以形成栅极216。栅极层206可以采用含有卤素的气体混合物来进行等离子体蚀刻。含有卤素的气体混合物可包括一种或是多种含有卤素的气体例如是氯气(Cl2)、溴化氢(HBr)、四氟化碳(CF4)等,以及含氧气体(例如氧气(O2)、氦和氧的混合气体(即He-O2)等)。含氧气体可在栅极216的侧壁形成一层保护氧化膜。含有卤素的气体混合物可选择性包括惰性的稀释气体,例如是氮气(N2)、氩气(Ar)、氦气(He)、氖气(Ne)等至少其中一种。在一实施例中,步骤108可以以光阻212做为蚀刻掩模;栅介电层204(例如二氧化铪)做为蚀刻终止层。
在一说明例中,含有复晶硅的栅极层206是在DPSII模块中进行蚀刻,其蚀刻是通入20至300sccm流动速率的溴化氢(HBr)、20至300sccm流动速率的氯气(Cl2)(即HBr:Cl2的流动速率比为1∶15至15∶1)、0至200sccm流动速率的氮气,施加到感应耦合天线的功率为200至3000瓦之间,施加在阴极的偏压功率为0至300瓦之间,基底的温度维持在摄氏20至80度之间,工序腔室的压力则维持在2至100mTorr之间。在一工序例中,是通入40sccm流动速率的HBr、40sccm流动速率的Cl2(即HBr:Cl2的流动速率比约为1∶1)、20sccm流动速率的氮气,施加在天线的功率为1100瓦,施加在阴极的偏压功率为20瓦,基底的温度维持在摄氏45度,腔室的压力则维持在45mTorr。此工序中,复晶硅(材料层206)和二氧化铪(材料层204)之间的蚀刻选择比至少为100∶1;复晶硅和光阻(掩模212)之间的蚀刻选择比则约为3∶1。
在进行步骤108时,栅极层206所移除的一部份材料会与蚀刻气体混合物中的成分(例如含卤素的气体)以及掩模212的成分产生非挥发性的物质。这一些非挥发性物质会再沉积在基底200上,而形成聚合残留层207(图2C中虚线绘示之处)。通常,聚合残留层207是形成在栅极216的侧壁上、栅介电层204的表面203上以及基底200上,其厚度217约为10至400埃。通常在侧壁205上至少有此厚度。为能精确测量栅极216其蚀刻后的宽度215,通常必须等到其侧壁上的残留物的厚度减少到一定程度才能进行测量。此种测量方法例如是光学散射。若是侧壁上的沉积物(例如聚合残留层207)的厚度大约是不大于10nm,则可不需进行蚀刻后工序工序,而直接进行光学散射测量法来进行测量。因此,若是结构其侧壁上的聚合残留物的厚度不大于10nm时,可以采用TRANSFORMATM测量系统来精确测量结构在进行蚀刻步骤108之后的关键尺寸。
在进行步骤108之后,若是侧壁205上的聚合残留层207的厚度217小于或约为10nm时,则进行步骤112。或者,若是厚度大于10nm则进行程序100的步骤110。
步骤110,是以等离子体剥除工序(图2D)来压合或出气聚合残留层207以及光阻掩模212,或是至少移除一部分的聚合残留层207。通常步骤110,是使聚合残留层207薄化和/或使其密度增加,由于聚合残留层的移除量是可以改变的,因此此步骤的工序裕度(process window)相当宽。
在一实施例中,移除的工序可采用含有氮气和氢气,或是含氮气和氧气的混合气体。步骤110可移除复晶硅电极轮廓218的侧壁205上以及光阻掩模212的轮廓220上厚度213大约不大于10nm的残留物(轮廓218、220如图2D虚线所示)。
移除工序可以采用例如是CENTURA系统的AXIOMTM模块(其可由美国加州应用材料公司购得)来进行的。AXIOMTM模块是一种远程等离子体反应器,其可限制射频(RF)等离子体,仅让反应性的中性物种通过工序腔室的反应空间。这种限制方式可以防止基底或基底上的电路遭受等离子体的破坏。与DPSII反应器相同的是,AXIOMTM模块亦可使用一个终点侦测系统。AXIOMTM模块的内容详细说明于2002年10月4日所申请的美国专利第10/264,664号专利中,其内容并入本案参考。此反应器的重要特征将配合图4详述于后。另一种选择是,剥除工序可采用DPSII模块来进行。
在一说明例中,聚合残留层207和光阻掩模212可以以AXIOMTM模块来进行压合/出气,其可提供流动速率为300至1000sccm的氮气、0至100sccm的氢气(即N2:H2的流动速率比为3∶1至100∶0)、1000至5000sccm的氧气,在约为200至600kHz下其施加于感应耦合电源以产生远程等离子体的功率约为1000至7000瓦,基底的温度维持在摄氏200至350度之间,工序腔室的压力在500至2000mTorr之间。在一工序例中,氮气的流动速率为768sccm、氢气的流动速率为32sccm(即N2:H2的流动速率比约为24∶1)、氧气的流动速率为3500sccm,施加在感应耦合电源以产生远程等离子体的功率为5000瓦,基底的温度维持在摄氏250度,腔室的压力为750mTorr。
步骤112,是测量各基底上进行蚀刻工序后的结构的关键尺寸。与步骤104所进行的测量步骤相同的是,此蚀刻后的关键尺寸测量步骤是针对基底上数个重要的区域(例如5至9个或更多个区域)来进行的,然后再取其平均值。通常,此测量步骤所采用的测量工具和方法是与上述步骤104所采用者相同。在一说明例中,步骤112可以采用TRANSFORMATM测量系统,以利用光学散射测量技术来进行测量。
步骤114,是程序100中询问基底上的所蚀刻的结构的关键尺寸是否与预定的尺寸不同,也就是步骤106的蚀刻工序处方是否应当调整以补偿步骤108的蚀刻工序的工序变异。步骤108的蚀刻工序的工序变异可能相关的因素例如是蚀刻腔室壁面的温度、蚀刻腔室的状态、蚀刻副产物的沉积等。若是步骤114是肯定的答案,则进行程序100的步骤116。
步骤116,将测量蚀刻后的结构所得的尺寸平均,并以集成的半导体基底工序系统的系统控制器的处理器,或蚀刻反应器的控制器中的处理器来计算步骤106的修整工序处方的调整量,以进行后续基底上的图案掩模212(或基底)的修整工序。
修整工序的处方,可依据先前单一基底在进行步骤112的蚀刻后测量的结果,或是依据先前一批基底在进行步骤112的蚀刻后测量的平均结果来计算其调整量。在一实施例中,其调整包括改变修整工序的时间或改变修整工序中其它的工序参数(例如蚀刻气体的流动速率和/或压力、等离子体电源功率、基底偏压功率等)。在进行后续的基底的工序时,调整后的修整工序有助于形成具有预定的关键尺寸的栅极结构。
在另一实施例中,可选择進行步骤117,其是以集成的半导体基底工序系统的系统控制器的处理器,或蚀刻反应器的控制器中的处理器,来计算至少一基底在后续进行蚀刻工序-步骤108的蚀刻工序处方的调整量。和步骤116相同的是,此計算可依据先前单一或多个基底在进行步骤112的蚀刻后测量的结果。为使所形成的栅即结构具有预定的关键尺寸,可调整的包括改变蚀刻工序的时间或蚀刻工序的其它参数。在一实施例中,工序的参数包括蚀刻气体的流动速率和/或压力、等离子体源功率、基底的偏压功率等。
在另一实施例中,可分别以集成的半导体基底工序系统的系统控制器的处理器同时分别计算步骤106的修整工序处方的调整量和步骤108的蚀刻工序处方的调整量,步骤116和117。此调整可补偿步骤108的蚀刻工序的工序变异,并且可使彼此具有足够的工序变异补偿。
请参照图2D,步骤112,是测量基底上数个统計上重要的区域上的复晶硅电极216的宽度215,然后再平均之。之后,进行步骤114,其是询问程序100中复晶硅电极216的宽度215与预定的宽度是否不同。当蚀刻相同批次的一个基底或多个连续的基底时,而步骤114的答案为肯定,则进行步骤116,计算蚀刻步骤106的修整工序的调整量,或是进行步骤117,计算步骤108的蚀刻工序的调整量。
若是步骤114的答案为否定,则进行程序100的步骤118。步骤118表示程序100结束。也就是程序100可密闭回路控制蚀刻工序并且有助于一整批基底上的结构的尺寸具有高精确度。熟悉此技术者可了解程序100的方法可应用于蚀刻一层或多层的下层材料层(例如是位于栅电极层206下方的栅介电层204)中。
图3是绘示一种可实施本发明的去耦合等离子体源(DPS)蚀刻反应器300的示意图。DPSII反应器通常是CENTURA工序系统的一个工序模块,其可由美国加州应用材料公司购得。
反应器300包括一工序腔室310和一控制器340,其中工序腔室310的导电壳体(墙)330内具有一基底支座316。
腔室310的顶板320是由大致平坦的介电材料所构成。另一种腔室310亦可具有其它种形式的顶板,例如是圆顶状的顶板。顶板320之上设有天线,其包括至少一感应线圈组件312(所示者为两个共轴组件312)。感应线圈组件312是通过一第一匹配网络319与等离子体电源318耦接。通常,等离子体电源318可在50kHz至13.56MHz的可调频率范围内产生3000瓦。
支座(阴极)316是通过一第二匹配网络324与偏压电源322耦接。通常,偏压电源322在大约13.56MHz的频率下可产生10千瓦。偏压电源可以是连续电源或是脉冲电源。在另一实施例中,偏压电源322可以是直流电源或是直流脉冲电源。
控制器340包括一中央处理单元(CPU)344、一内存342和中央处理单元344的支持电路(support circuit)346以及有助于腔室310控制的组件,也就是有助于控制蚀刻工序的组件,其详细说明如后。
在操作时,是将半导体基底314置于基座316上,并经由气体面板338透过入口326供应工序气体,以形成气体混合物350。将等离子体电源318和偏压电源322的电源供应至感应线圈组件312和阴极316,以使气体混合物350在腔室310中激化而形成等离子体。腔室310内的压力可通过节流阀327和真空泵336来控制。通常,腔室的壁面330是与一电性接地端(electrical ground)334耦接。壁面330的温度是以环绕在壁面330的含有液体的导管(未绘示)来加以控制。
基底314是通过稳定支座316的温度来控制其温度。在一实施例中,气体源348为氦气,其是通过气体导管349送到基底314下方的基座表面中的信道(未绘示)之中。氦气有助于基座316和基底314之间的热传送。在进行工序时,基座316可通过安置在其内部的电阻加热器(未绘示)来加热以使其温度达到稳态,而氦气则有助于均匀地加热基底314。使用此种热控制可以使基底314的温度维持在摄氏20度至350度之间。
熟悉此技术者当可了解可以其它的蚀刻腔室来实施本发明,包括具远程等离子体源的腔室、电子回旋共振(ECR)等离子体腔室等。
为使工序腔室310的控制可以如上所述,控制器340可以是任一种通用的计算机处理器,其可以使用于工业上,以设定、控制各种腔室和子处理器。CPU344的内存342或计算机可读取媒体可以是一种或多种可读取内存,例如随机存取内存(RAM)、只读存储器(ROM)、磁盘、硬盘或其它形式的区域或远程数字储存器。支持电路346是以习知的方法与CPU344耦接,以支持处理器。这一些电路包括高速缓存、电源供应器、时钟电路(clock circuit)、输入/输出电路以及子系统(subsystem)等。本发明的方法通常是储存在内存342之中做为一种软件程序(software routine)。此软件程序亦可储存在第二个CPU(未绘示)之中,和/或在第二个CPU之中执行,而此第二个CPU的位置是位于远离CPU344所控制的硬盘。
图4是绘示一种可用来实施本发明的AXIOMTM反应器400的示意图。AXIOMTM反应器通常为CENTURA半导体基底工序系统的一个工序模块,此系统可由美国加州应用材料公司购得。
反应器400包括一工序腔室402、一远程等离子体源406以及一控制器408。
通常,工序腔室402是一个真空的腔体,其可包括一第一部分410和一第二部分412。在一实施例中,第一部分410包括基座404、侧壁416与真空泵414。第二部分412包括壳盖418和气体配送板(喷洒头)420。第一部分410和第二部分412形成了一个气体混合空间422和反应空间424。通常,壳盖418和侧壁416的材质为是金属(例如铝、不锈钢等),并且与接地的接地参考端(ground reference)460电性耦接。
基底基座404位于反应空间424之中,其可承载基底(晶片)426。在一实施例中,基底基座404可包括一辐射加热源如是充气灯(gas-filled lamp)428、嵌入式电阻加热器430以及导管432。导管432可通过基底426下表面的基座404中的沟槽(未绘示)将供应源434的气体(例如是氦)供应到基底426的背面。在基座404和基底426之间通入气体有助于其彼此之间的热交换。基底426的温度可维持在摄氏20度至400度之间。
真空泵414是与形成在工序腔室402侧壁416上的排出口436耦接,其可维持工序腔室402的压力,并在进行工序之后将工序腔室中的气体或是其它的挥发性物质抽出。在一实施例中,真空泵414可使用节流阀438来控制工序腔室402之中的气体压力。
工序腔室402亦可包括习知装载和卸载基底426的系统、侦测工序终点系统、内部诊断(internal diagnostic)系统等。这一些系统全部以图4中的支持系统440表示。
远程等离子体源406包括电源446、气体面板444和远程等离子体腔室442。在一实施例中,电源446包括一射频产生器448、一调整组件(tuning assembly)450和一涂布器(applicator)452。射频产生器448在200至600kHz的频率下可产生大约200至5000瓦的功率。涂布器452是感应耦接至远程等离子体腔室442,并且可使工序气体(或气体混合物)464增能而在工序腔室442中产生等离子体462。在一实施例中,远程等离子体腔室442为环形结构,其可用来限制等离子体,并且有助于有效产生自由基物质,并可降低等离子体的电子温度。在另一实施例中,远程等离子体源406可以是微波等离子体源,但是,通常使用感应耦合等离子体具有较高的剥除速率。
气体面板444包括一导管466,其可将工序气体464传送到远程等离子体腔室442之中。气体面板444(或导管466)所包括的装置(未绘示),例如是控制供应到腔室442之中的气体的气体压力和流动速率的质流控制器和截流阀(shut-off valve)。在等离子体462中,工序气体464被离子化并分解成反应物种。
反应物种由壳盖418中的入口468通入于混合空间422之中。通常,与电荷有关的等离子体会破坏基底426上的组件,为使基底426遭受的破坏最小化,在气体通过喷洒头420的数个通孔到达反应空间424之前,可将混合空间422之中的工序气体464的离子物种实质上中性化。
控制器408包括一中央处理单元454、一内存456、和一支持电路458。中央处理单元454可以是一般用于工业设定上的计算机处理器。内存456可储存软件程序,其例如是随机存取内存、只读存储器、磁盘、硬盘或其它形式的数字储存器。支持电路458是与CPU454耦接,其包括高速缓存、电源供应器、时钟电路(clock circuit)、输入/输出电路以及子系统(subsystem)等。
当CPU454在执行软件程序时,CPU将转换成一种具有特定功能的计算机(控制器)408,其可控制反应器400,以依照本发明来进行工序。软件程序亦可储存在远离反应器400的第二个控制器中,和/或在第二个控制器中执行。
图5是绘示一种可用以实施本发明的CENTURA集成工序系统500的上视图。系统500的具体实施例是用以说明本发明而不是用以限定本发明。
通常,集成的工序系统500包括加载互锁真空室(load lockchamber)522、工序模块510、512、514、516与518、真空空间528、机器手臂530和加载/载出模块502、测量模块526和系统控制器540。加载互锁真空室522是装载着基底的晶盒的装卸处,其可避免真空本体528遭受空气污染。机器手臂530具有一个基底贮处(waferreceptacle)534,以使基底可以在加载互锁真空室和工序模块之间传送。加载/载出模块502至少包括一基底传送盒(FOUP)506(图式中绘出两个基底传送盒),其有助于装有基底的晶盒在工厂接口524和测量模块526之间传送。
测量模块526包括一光学测量站504以及基底的机器手臂508、520,其中光学测量站504可测得关键尺寸;机器手臂508、520则可在工序前和工序后在基底传送盒506之间传送基底。一种合适的测量模块可由美国加州的Nanometrics公司购得。
工厂接口524是指一大气压界面,其用以在各种工序系统和半导体工厂工序区域之间传送装有工序前、后的基底的晶盒。通常,工厂接口524包括一个挟持基底的组件536和轨道538。在操作时,挟持基底的组件536可沿着轨道538移动。
系统控制器540是与集成工序系统500的各模块耦接,并可控制该些模块。通常,系统控制器540可使用系统500的直接控制模块和装置或是通过与这一些模块和装置连接的计算机的控制,来控制整个系统500的操作。在操作时,系统控制器540可由这一些模块和装置回馈,以使基底的产能最优化。
系统控制器540包括一中央处理单元(CPU)542、一内存544和一支持电路546。中央处理单元542可以是一般用于工业设定上的计算机处理器。支持电路546是与CPU542耦接,其包括高速缓存、时钟电路(clock circuit)、输入/输出电路、电源供应器等。当CPU542在执行软件程序时,CPU将转换成一种具有特定功能的计算机(控制器)540。软件程序亦可储存在远离系统500的第二个控制器(未绘示)中,和/或可在第二个控制器中执行。
集成的工序系统500中的至少有一个工序模块是可以实施本案的部分发明的DPSII模块。系统500可包括其它的工序模块,例如是PRECLEANIITM等离子体清洁模块、AXIOMTM远程等离子体模块、RADIANCETM热工序模块(这一些工序模块可由美国加州应用材料公司购得)等。
TRANSFORMATM工序系统是一种可用来实施本发明的系统500的结构,其可由美国加州应用材料公司购得。系统500可包括两个加载互锁真空室522、DPSII模块514、516和518、AXIOMTM模块510和514、测量模块526以及含有两个基底传送盒506的加载/载出模块502。
熟悉此技术者可知,本案可采用其它的蚀刻工序来实施本发明,且在不脱离本案的精神范围内,可以本发明揭露的技术调整参数以达到各种特性。虽然,以上是以场效应晶体管为例来进行说明,然而,本发明亦可应用于集成电路的其它种组件或结构上。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟悉此技术者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视后附的权利要求所界定的为准。

Claims (20)

1.一种控制蚀刻工序的精确度和再现性的方法,包括:
(a)提供一批基底,各基底具有一形成在一堆栈膜上的图案掩模,且该堆栈膜包括至少一材料层;
(b)测量该批基底中至少一基底上的该图案掩模的构件的尺寸;
(c)以一工序处方修整该至少一基底上的该图案掩模,该工序处方是与步骤(b)的测量值有关;之后,
(d)蚀刻该至少一基底上的该至少一材料层;
(e)测量蚀刻后的残留物的厚度,当残留物的厚度大于10nm时,对形成在该蚀刻结构的侧壁的至少一部份的该蚀刻后的残留物进行压合或移除;
(f)测量进行步骤(d)之后的该至少一基底上的蚀刻结构的尺寸;以及
(g)依照步骤(f)的测量值调整步骤(c)的该工序处方或/及步骤(d)的一工序处方。
2.如权利要求1所述的控制蚀刻工序的精确度和再现性的方法,其中步骤(b)和(f)是采用光学测量技术。
3.如权利要求2所述的控制蚀刻工序的精确度和再现性的方法,其中该光学测量技术为一散射测量技术。
4.如权利要求1所述的控制蚀刻工序的精确度和再现性的方法,其中步骤(b)至步骤(f)是以一单一基底工序系统的工序模块来施行的。
5.如权利要求1所述的控制蚀刻工序的精确度和再现性的方法,其中步骤(g)还包括:
改变工序修整该图案掩模的时间或工序参数。
6.如权利要求1所述的控制蚀刻工序的精确度和再现性的方法,其中步骤(g)还包括:
改变蚀刻该材料层的时间或工序参数。
7.如权利要求1所述的控制蚀刻工序的精确度和再现性的方法,还包括:
使该蚀刻后残留物变薄,其厚度少于或等于10nm。
8.如权利要求1所述的控制蚀刻工序的精确度和再现性的方法,其中步骤(e)还包括:
除了测量蚀刻后残留物的厚度之外,还测量一图案的关键尺寸。
9.一种在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,包括:
(a)提供一批基底,各基底具有一图案掩模,该图案掩模是形成在该栅极结构的栅极层上;
(b)测量该批基底中至少一基底上的该图案掩模的构件的尺寸;
(c)以一工序处方修整该至少一基底上的该图案掩模,该工序处方是与步骤(b)的测量值有关;
(d)蚀刻该至少一基底上的该栅极层;
(e)测量蚀刻后的残留物的厚度,当残留物的厚度大于10nm时,对形成在该蚀刻结构的侧壁的至少一部份的该蚀刻后的残留物进行压合或移除;
(f)测量进行步骤(d)之后该至少一基底上的蚀刻栅极结构的尺寸;以及
(g)依照步骤(f)的测量值调整步骤(c)的该工序处方或/及步骤(d)的一工序处方。
10.如权利要求9所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中步骤(b)和(f)是采用光学测量技术。
11.如权利要求10所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中该光学测量技术为一散射测量技术。
12.如权利要求9所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中步骤(b)至步骤(f)是以一单一基底工序系统的工序模块来施行的。
13.如权利要求9所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中步骤(g)还包括:
改变工序修整该图案掩模的时间或工序参数。
14.如权利要求9所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中步骤(g)还包括:
改变蚀刻该材料层的时间或工序参数。
15.如权利要求9所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中该栅极层包括掺杂复晶硅。
16.如权利要求9所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中步骤(d)还包括:
提供HBr和Cl2,HBr∶Cl2的流动速率比为1∶15至15∶1。
17.如权利要求9所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,还包括:
使该蚀刻后残留物变薄,其厚度少于或等于10nm。
18.如权利要求17所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中使该蚀刻后残留物变薄的方法包括:
使用一等离子体,其包括一种或多种选自于氮气、氧气和氢气所组成的气体族群。
19.如权利要求18所述的在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,还包括:
提供N2和H2,N2∶H2的流动速率比为3∶1至100∶0;
令该基底的温度维持在摄氏200至350度;
在一耦合电源上施加100至7000瓦的电源;以及
令一腔室压力维持在为500至2000mTorr之间。
20.如权利要求9所述在形成场效应晶体管的栅极结构时控制精确度与重现性的方法,其中步骤(e)还包括:
除了测量蚀刻后残留物的厚度之外,还测量一图案的关键尺寸。
CNB2004100837703A 2003-10-21 2004-10-19 控制蚀刻工序的精确度和再现性的方法 Expired - Fee Related CN1333308C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/690,318 2003-10-21
US10/690,318 US7094613B2 (en) 2003-10-21 2003-10-21 Method for controlling accuracy and repeatability of an etch process

Publications (2)

Publication Number Publication Date
CN1609711A CN1609711A (zh) 2005-04-27
CN1333308C true CN1333308C (zh) 2007-08-22

Family

ID=34521610

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100837703A Expired - Fee Related CN1333308C (zh) 2003-10-21 2004-10-19 控制蚀刻工序的精确度和再现性的方法

Country Status (3)

Country Link
US (1) US7094613B2 (zh)
JP (1) JP2005129906A (zh)
CN (1) CN1333308C (zh)

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
US7306746B2 (en) * 2004-01-30 2007-12-11 Taiwan Semiconductor Manufacturing Co. Ltd. Critical dimension control in a semiconductor fabrication process
US7153780B2 (en) * 2004-03-24 2006-12-26 Intel Corporation Method and apparatus for self-aligned MOS patterning
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4343798B2 (ja) * 2004-08-26 2009-10-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US20060105578A1 (en) * 2004-11-12 2006-05-18 Shih-Ping Hong High-selectivity etching process
WO2006073871A1 (en) * 2004-12-30 2006-07-13 Applied Materials, Inc. Line edge roughness reduction compatible with trimming
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7547599B2 (en) * 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
KR100685903B1 (ko) * 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
KR100720481B1 (ko) * 2005-11-28 2007-05-22 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20070161255A1 (en) * 2006-01-06 2007-07-12 Wilfred Pau Method for etching with hardmask
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7759136B2 (en) * 2006-03-29 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Critical dimension (CD) control by spectrum metrology
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
KR100780652B1 (ko) * 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자 제조방법
US7713758B2 (en) * 2007-06-13 2010-05-11 Tokyo Electon Limited Method and apparatus for optimizing a gate channel
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
JP5316412B2 (ja) 2007-08-31 2013-10-16 東京エレクトロン株式会社 半導体装置の製造方法
US7879732B2 (en) * 2007-12-18 2011-02-01 Chartered Semiconductor Manufacturing Ltd. Thin film etching method and semiconductor device fabrication using same
US7678588B2 (en) * 2008-01-22 2010-03-16 United Microelectronics Corp. Method for constructing module for optical critical dimension (OCD) and measuring method of module for optical critical dimension using the module
JP2010080846A (ja) * 2008-09-29 2010-04-08 Tokyo Electron Ltd ドライエッチング方法
US8221635B2 (en) * 2009-03-03 2012-07-17 Raytheon Company Process for multiple platings and fine etch accuracy on the same printed wiring board
TW201108324A (en) * 2009-03-24 2011-03-01 Tokyo Electron Ltd A method for processing a substrate
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8084339B2 (en) * 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
JP5629098B2 (ja) * 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
US20120018813A1 (en) * 2010-07-22 2012-01-26 International Business Machines Corporation BARRIER COAT FOR ELIMINATION OF RESIST RESIDUES ON HIGH k/METAL GATE STACKS
CN102386077B (zh) * 2010-09-03 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN102466969A (zh) * 2010-11-19 2012-05-23 中芯国际集成电路制造(上海)有限公司 双重图形化方法
CN102176430A (zh) * 2011-03-29 2011-09-07 上海宏力半导体制造有限公司 消除栅极侧壁再沉积的方法和半导体器件
KR101791252B1 (ko) * 2011-04-22 2017-10-27 마퍼 리쏘그라피 아이피 비.브이. 리소그래피 머신들의 클러스터를 위한 네트워크 아키텍처 및 프로토콜
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US8557682B2 (en) * 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102592990A (zh) * 2011-11-08 2012-07-18 上海华力微电子有限公司 一种65/55nm产品第二侧墙刻蚀工艺
US9356822B2 (en) 2012-10-30 2016-05-31 Kla-Tencor Corporation Automated interface apparatus and method for use in semiconductor wafer handling systems
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
CN104576387B (zh) * 2013-10-14 2017-07-25 上海和辉光电有限公司 低温多晶硅薄膜晶体管制造方法
DE102013223490B4 (de) * 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
CN103824802B (zh) * 2014-03-05 2016-06-29 上海华虹宏力半导体制造有限公司 半导体结构的形成方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10707139B2 (en) * 2016-11-28 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for adjusting etching parameters
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11309404B2 (en) * 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US20200411342A1 (en) * 2019-06-27 2020-12-31 Applied Materials, Inc. Beamline architecture with integrated plasma processing
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112133631B (zh) * 2020-09-25 2022-11-18 上海华力微电子有限公司 改善栅极刻蚀形貌稳定性的方法和刻蚀设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116313876B (zh) * 2023-05-25 2023-08-04 粤芯半导体技术股份有限公司 离子注入工艺中衬底温度的监测方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6620631B1 (en) * 2000-05-18 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948203A (en) 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
EP0973068A3 (en) 1998-07-14 2001-05-30 Nova Measuring Instruments Limited Method and system for controlling the photolithography process
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
EP1171908A1 (en) * 2000-01-19 2002-01-16 Philips Semiconductors Inc. Method for removing residues with reduced etching of oxide
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6501555B1 (en) 2001-02-01 2002-12-31 Advanced Micro Devices, Inc. Optical technique to detect etch process termination
US6479200B1 (en) * 2001-04-19 2002-11-12 Advanced Micro Devices, Inc. Method of controlling stepper process parameters based upon scatterometric measurements of DICD features
US6479309B1 (en) 2001-05-25 2002-11-12 Advanced Micro Devices, Inc. Method and apparatus for determining process layer conformality
US6433871B1 (en) * 2001-05-25 2002-08-13 Advanced Micron Devices, Inc. Method of using scatterometry measurements to determine and control gate electrode profiles
US20030000922A1 (en) 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image
US6649426B2 (en) 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US6707562B1 (en) * 2001-07-02 2004-03-16 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control photoresist etch process
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6620631B1 (en) * 2000-05-18 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control

Also Published As

Publication number Publication date
CN1609711A (zh) 2005-04-27
JP2005129906A (ja) 2005-05-19
US20050085090A1 (en) 2005-04-21
US7094613B2 (en) 2006-08-22

Similar Documents

Publication Publication Date Title
CN1333308C (zh) 控制蚀刻工序的精确度和再现性的方法
US6911399B2 (en) Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7354866B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US6518206B1 (en) Method for etching an anti-reflective coating
US6599437B2 (en) Method of etching organic antireflection coating (ARC) layers
US8257546B2 (en) Method and system for monitoring an etch process
US6350390B1 (en) Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
US6081334A (en) Endpoint detection for semiconductor processes
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
US7815812B2 (en) Method for controlling a process for fabricating integrated devices
US20020000423A1 (en) Method for enhancing oxide to nitride selectivity through the use of independent heat control
US20040043623A1 (en) Method for fabricating a gate structure of a field effect transistor
KR101821056B1 (ko) 다중 패턴화 스킴에 대한 선택적 스페이서 에칭을 위한 방법 및 시스템
US5948703A (en) Method of soft-landing gate etching to prevent gate oxide damage
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
US20040209468A1 (en) Method for fabricating a gate structure of a field effect transistor
KR20010053548A (ko) 도핑에 독립적인 폴리실리콘용 자기세정 에칭 프로세스
US20050064714A1 (en) Method for controlling critical dimensions during an etch process
JP2006512783A (ja) 2層フォトレジストのドライ現像方法及び装置
US6620575B2 (en) Construction of built-up structures on the surface of patterned masking used for polysilicon etch
US6340603B1 (en) Plasma emission detection during lateral processing of photoresist mask
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
US20040018647A1 (en) Method for controlling the extent of notch or undercut in an etched profile using optical reflectometry
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
US20170243744A1 (en) Trimming Inorganic Resists With Selected Etchant Gas Mixture And Modulation of Operating Variables

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070822

Termination date: 20101019