CN1332267A - 使用连续淀积技术淀积难熔金属层的方法与装置 - Google Patents

使用连续淀积技术淀积难熔金属层的方法与装置 Download PDF

Info

Publication number
CN1332267A
CN1332267A CN01121274A CN01121274A CN1332267A CN 1332267 A CN1332267 A CN 1332267A CN 01121274 A CN01121274 A CN 01121274A CN 01121274 A CN01121274 A CN 01121274A CN 1332267 A CN1332267 A CN 1332267A
Authority
CN
China
Prior art keywords
treatment chamber
layer
substrate
gas
instruction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN01121274A
Other languages
English (en)
Inventor
席明
A·辛哈
M·克里
A·W·马克
潘容苏
雷春来
钟华
卢新良
赖康
K·A·立陶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/605,593 external-priority patent/US6551929B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1332267A publication Critical patent/CN1332267A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

形成难熔金属层的方法和系统,其特征在于:通过连续淀积技术对衬底成核,在该连续淀积技术中,衬底依次暴露于第一和第二反应气体中,随之形成层,通过气相淀积,对成核层进行化合物的大块淀积,该化合物包含于第一和第二反应气体中。所有的处理步骤可以在相同或者不同的处理室内进行。例如,成核可以在不同于进行大块淀积的处理室的处理室内进行。还公开了用于控制所得到的层中的氟原子的存在的技术,该氟原子的存在在成核的过程中为所用载体气体的函数。

Description

使用连续淀积技术淀积难熔金属层的方法与装置
本发明涉及对于半导体衬底的处理。具体的说,本发明涉及在半导体衬底上淀积难熔金属层的改进方法。
半导体制造业不断要求在增加淀积于具有较大表面面积衬底上的各层的均匀性的同时可以获得较高的生产率。这些与材料相结合的相同因素也可以提供在单位面积衬底上的电路的较高集成度。随着电路集成度的增加,对较高均匀性及有关层厚的过程控制的要求就会增加。结果,已发展了多种具有成本效益的在衬底上淀积层的技术,该技术同时保持对层的特性的控制。化学气相淀积(CVD)是最普通的用于在衬底上淀积层的淀积方法之一。CVD是依赖流量的淀积技术,为产生所要得到的均匀厚度层,该技术需要精确控制衬底温度以及引入处理室的前体。由于会使处理室的设计以及为保持足够均匀性的气体流动技术变得更复杂,这种需要在衬底尺寸增加时变得更苛刻。
与CVD相比,可以进行较多步骤涂敷的CVD的派生技术为原子层淀积(ALD)。ALD基于最初用于制造电致发光显示器的原子层外延(ALE)。ALD用化学吸收作用以在衬底表面形成反应前体分子的饱和单层。它通过向淀积室里交替脉冲输入适当的反应前体而实现。每次反应前体的输入被不活泼气体冲洗隔开,以提供附加于先前淀积层的新原子层,从而在衬底上形成均匀层。重复该循环以形成所需厚度的层。ALD技术的缺点是淀积速度较低,小于典型CVD技术至少一个数量级。
以高淀积速度形成膜层和提供足够分步涂敷是相互冲突的特性,经常需要为获得一方而牺牲另一方。在形成将由介电层隔开的邻近金属层连接起来的接点的过程中,当难熔金属层淀积以涂敷间隙或者通路时这种冲突就尤其明显。在历史上,为了廉价快速形成接点,使用CVD技术以淀积诸如难熔金属的导电材料。由于半导体电路集成度的增加,在较多步骤涂敷中使用钨。结果,由于该方法大的产量,使用CVD技术淀积钨在半导体加工中获得广泛应用。
但是,用传统CVD方法淀积钨存在几个缺点。例如,在半导体衬底上钨层的涂敷层淀积在400℃是十分耗时的。钨的淀积速度可以通过增加淀积温度而提高,该淀积温度可以增加到诸如500~550℃。但是,在该较高范围下的温度会损害结构和正在形成的集成电路的下层部分的完整性。由于导致产生反射率低于硅基片20%的相对粗糙表面,使用钨还会妨碍在制作过程的光刻步骤。最后,已表明钨难于均匀淀积。已表明对于钨会带来大于1%的膜厚的变化,由此会妨碍对层的电阻系数的控制。已有几种针对克服上述缺点而进行的尝试。
例如,在受让于本发明受让人的Chang等人的美国专利号为5028565的专利及其它专利中,公开了通过改变淀积化学而提高钨的均匀性的方法。该方法包含在相关部分中在通过大块淀积进行淀积钨前在中间阻挡层上形成成核层。成核层从六氟化钨、氢、硅烷和氩气的气体混合物中形成。成核层被描述为提供促进均匀钨层在其上淀积的生长点的层。成核层的优点被描述为取决于所用阻挡层。例如阻碍层由氮化钛形成时,钨层厚度均匀性提高差不多15%。当阻挡层由溅射钨或者溅射钛钨形成时,成核层的优点不太明显。
因此,需要提供提高淀积于半导体衬底上的难熔金属层的特性的技术。
形成难熔金属层的方法和系统,其特征在于:通过连续淀积技术对衬底成核,在该连续淀积技术中,衬底依次暴露于第一和第二反应气体中,随之形成层,通过气相淀积,对成核层进行化合物的大块淀积,该化合物包含于第一和第二反应气体中之一。所有的处理步骤可以在相同或者不同的处理室内进行。例如,成核可以在不同于进行大块淀积的处理室的处理室内进行。还公开了用于控制所得到的层中的氟原子的存在的技术,该氟原子的存在为在成核的过程中所用载体气体的函数。
图1为根据本发明的半导体处理系统的透视图;
图2为上述图1中处理室的详细说明图;
图3为在连续淀积过程中第一分子在衬底上淀积的示意图;
图4为在连续淀积以形成难熔金属层的过程中第二分子在衬底上淀积的示意图;
图5为根据本发明,引入如上面图2中所示的处理室中的气体浓度,与存在于处理室中的气体的时间的图解图;
图6为根据本发明,ALD循环次数与层厚的关系的图解图,该层使用连续淀积技术形成于衬底上;
图7为根据本发明,连续淀积循环次数与层的电阻系数的关系的图解图,该层使用连续淀积技术形成于衬底上;
图8为根据本发明,层的淀积速度与衬底温度的关系的图解图,该层使用连续淀积技术形成于衬底上;
图9为根据本发明,层的电阻系数与衬底温度的关系的图解图,该层使用连续淀积技术形成于衬底上;
图10为根据本发明的布线衬底的剖面图,该布线衬底具有通过连续淀积技术形成于其上的成核层;
图11为根据本发明的如图10所示的衬底的局部剖面图,通过CVD在成核层上形成有难熔金属层;
图12为根据本发明的第一实施例,在图3中所示的气体的成分的图解图;
图13为根据本发明的第二实施例,在图5中所示的气体的成分的图解图;
图14为在Ar或N2为载体气体时氟的含量与难熔金属层的深度的关系的图解图,该难熔金属层使用ALD形成于衬底上;
图15为在H2为载体气体时氟的含量与难熔金属层的深度的关系的图解图,该难熔金属层使用ALD形成于衬底上。
如图1所示,例举性基片处理系统包含一个或者多个处理室12和14,该处理室置于由壁18围成的共同工作区域16中。处理室12和14与控制器22保持基线联系,该控制器与图中标为24和26的一个或者多个监视器相连。监视器一般显示与处理室12和14相关联的过程的信息。监视器之一26置于壁18上,而监视器24仍置于工作区域16中。处理室12和14的操作控制可以通过使用光笔而实现,以使之与控制器22相连,该光笔与监视器24和26之一相连。例如,光笔28与监视器24相连并通过监视器24促进与控制器22的联系。光笔39通过监视器26促进与控制器22的联系。
参照图1和2,每个处理室12和14包含:具有基壁32的外壳30,置于基壁32对面的盖子34,延伸于两者之间的侧壁36。外壳30界定了室37,基架38置于处理室37内,以支撑诸如半导体基片的衬底42。可以通过位移装置(未示出)将基架38上升至盖子34和基壁32之间,但是其位置为典型安装位置。处理气体供给装置39a、39b和39c通过喷嘴40与处理室37保持流体联通。出自供给装置39a、39b和39c中的气体的流动调节通过流动阀完成。
根据特定的处理,衬底42可以在层淀积前通过嵌入基架38的加热器被加热至所需的温度。例如,可以通过交流电源43供给加热元件44电流而对基架38进行电阻式加热。衬底42反过来被基架38加热,并可保持在诸如约20~750℃的所需处理温度范围内。诸如热电偶的温度传感器46也被嵌入基片支撑部件基架38中,以通过传统方式监控基架38的温度。例如,将所测温度用于反馈回路中,以控制由电源43施加于加热器44的电流,这样衬底温度就可保持或者控制在适于特定处理的所需温度。另外,也可以采用辐射加热装置(未示出)加热基架38。使用真空泵48抽空处理室37,以有助于保持适当的气体流动和处理室37中的压力。
参照图1和3,上述处理室12和14的其中之一或两者都可以通过连续淀积技术在衬底上淀积难熔金属层。根据本发明的连续淀积技术的一个例子包含原子层淀积(ALD)。根据处理的特定阶段,难熔金属层可以在制成衬底42的材料上淀积,该材料诸如SiO2。难熔金属层也可以在预先形成于衬底42上的层上淀积,该层诸如钛和氮化钛等。
在根据本发明的连续淀积技术中,此处为Aax的一炉第一处理气体导致产生在衬底42上淀积的A层,该衬底具有暴露于处理室37中的配位基表面。然后,清洗气体进入处理室37以冲洗去未被结合进入A层的气体Aax。在从处理室37中冲洗去Aax后,第二炉气体Bbx进入处理室37。衬底表面上配位基与b配位基和B原子反应,释放出诸如ab和aA的分子,该分子从衬底42中离开,然后被从处理室37中抽去。通过这种方式,包含层B的化合物的表面保留在衬底42上并暴露于处理室37中,如图4所示。B化合物层的成分可以为使用ALD形成的单层原子。另外,化合物B层可以包含多层原子。在这种情况下,第一处理气体可以包含处理气体的混合物,每一种处理气体具有可吸附于衬底42上的原子。该过程一个循环接着一个循环,直到得到所需的厚度。
参照图2和5,虽然可以使用各种类型的处理气体,在本实施例中,处理气体Aax包含B2H6,并且Bbx为WF6。使用两种清洗气体:Ar和N2。每一种处理气体与载体气体一起流入处理室37,在本实施例中为下列之一:WF6与Ar一起进入,B2H6与N2一起进入。但是,注意清洗气体可与下面着重详述的载体气体不同。根据本发明的ALD技术的一个循环包含清洗气体N2在时间t1内流入处理室37中,该时间t1在B2H6流入处理室37前,接近0.01~15秒。在0.01~15秒的时间t2内,处理气体B2H6与载体气体一起流入处理室37内,在本实施例中载体气体为N2。0.01~15秒之后,停止流入B2H6,并且在0.01~15秒的附加时间t3内继续保持N2的流入,以冲洗掉处理室中的B2H6。在时间t4内,尽可能多地抽空处理室37内的大部分气体。在抽空处理室37后,在0.01~15秒的时间t5引入载体气体Ar,在该时间t5后,在时间t6内将处理气体WF6与载体气体Ar一起引入处理室37内。时间t6持续0.01~15秒。处理气体WF6流入处理室37在其开始后的0.01~15秒后停止。在处理气体WF6停止流入处理室37后,在0.01~15秒的附加时间t7内继续保持Ar的流入。然后,在时间t8内尽可能抽空处理室内的气体。抽空过程如上持续近30秒,这样就构成了根据本发明的连续淀积技术的一个循环。
使用连续淀积技术的优点为多方面的,包含:层的形成与流量无关,这样就提供了与衬底尺寸无关的淀积的均匀性。例如,在同一室内在所测量的200mm和32mm衬底上淀积的层的均匀性和厚度的差异是微乎其微的。这是由于连续淀积技术的自我限制的特性而导致的。并且,该技术有利于在复杂外形上进行无余量分步涂敷。
除此之外,如图4所示,通过使用连续淀积技术可以在将其电阻减少到最小时很容易控制层B的厚度。如图6所示,从线50的斜率可以看出钨层厚度与所用形成钨层的循环次数成比例。但是,如图7中的曲线52的斜率所示,钨层的电阻系数相对与层厚无关,这样,使用连续淀积技术,可以很容易控制难熔金属层的厚度,该厚度作为处理气体引入处理室的循环次数的函数,而对电阻系数的影响微乎其微。
参照图4和8,可以发现对淀积速度的控制依赖于衬底42的温度。如线54的斜率所示,衬底42温度的上升会增加钨层B的淀积速度。例如,在56处可以看出,在250℃的温度下淀积速度接近2/循环。但点58处,在450℃的温度下淀积速度接近5/循环。但是,如图9中的曲线59的斜率所示,钨层的电阻系数实际上与层厚无关。结果,钨层的淀积速度可以作为温度的函数而被控制,而不会损坏电阻系数的均匀性。但是应减少淀积难熔金属的全部层的时间。
因此,淀积过程可包含难熔金属层的大块淀积(bulkdeposition)。难熔金属的大块淀积在普通的处理室里一般发生在成核层形成之后。具体的说,在本实施例中,钨层的成核在使用上述连续淀积技术的室12里发生,其衬底42被加热至200~400℃,处理室37被加压至1~10Torr。如图10所示,约12~20nm的成核层60形成于布线衬底42上。可以看出,衬底42包含阻挡层61和具有多个通路63的布线层。成核层在涂敷通路63的布线层的邻近形成。可以看出,使用ALD技术的成核层60可以提供100%的分步涂敷。为增加形成全部钨层的时间,钨在成核层上的大块淀积通过CVD技术实现,而衬底42置于相同的处理室12中,如图1所示。大块淀积可以通过众所周知的技术而实现。通过这种方式,就在具有纵横比约为6∶1的通路的布线层上得到了提供彻底的塞子填充的钨层65,如图11所示。
在另一实施例中,可以实施分为两部分的淀积过程,在该过程中,难熔金属层的成核在不同于在其中形成有难熔金属层的剩余部分的室的室中进行。具体的说,在本实施例中,钨层的成核通过上述的诸如ALD的连续淀积技术在室12中进行。最后,加热衬底42至200~400℃,加压处理室37至1~10Torr。如图10所示,约12~20nm的成核层60形成于布线衬底42上。可以看出,衬底42包含阻挡层61和具有多个通路63的布线层。成核层邻近于涂敷通路63的布线层形成。可以看出,使用ALD技术的成核层60可以提供100%的分步涂敷。
使用CVD技术,钨在成核层60上的大块淀积物在衬底42置于处理室14时形成,该处理室14如图1所示。大块淀积可以通过众所周知的技术实现。通过这种方式,就在具有纵横比约为6∶1的通路的布线层上得到了提供完全塞子填充的钨层65,如图11所示。实施上述分为两部分的淀积过程可以减少形成所需具有高性能的钨层的时间。
前已述及,在本发明另一实施例中的载体气体可以不同于清洗气体,如图12所示。在时间段t1、t3、t5和t7内引入的清洗气体包含Ar。在时间段t2和t6内引入的载体气体包含N2。这样,在时间段t2内,引入处理室的气体包含B2H6和H2的混合气,在时间段t6内,混合气包含WF6和N2。在时间段t4和t8内的冲洗过程同上述与图5相关的冲洗过程相同。在又一实施例中,如图13所示,在时间段t2和t6内的载体气体包含H2,而在时间段t1、t3、t5和t7内引入的清洗气体包含Ar。在时间段t4和t8内的冲洗过程如上所述。结果,在时间段t2内引入处理室37中的混合气包含B2H6和H2,在时间段t6内包含WF6和H2
使用载体气体H2所带来的好处是可以提高钨层B的稳定性。具体的说,通过比较图14中的曲线66和图15中的曲线68,可以看出当使用H2作为载体气体时,与使用N2或Ar相比,图10中所示的成核层60中氟化物的浓度较低。
如图14和15所示,曲线66的顶点与最低点表明氟化物的浓度可达到超过每立方厘米1×1021原子,以及可低于每立方厘米1×1019原子。但是,曲线68表明氟化物浓度在顶点处明显低于每立方厘米1×1021原子,并且在最低点明显低于每立方厘米1×1017原子。这样,使用H2作为载体气体提供了更加稳定的膜,即降低了氟化物扩散进入衬底或者邻近层的可能性。同时,这样通过避免形成可以导致增加氟化物浓度的金属氟化物,从而降低了难熔金属层的电阻。这样,成核层的稳定性及其电阻系数可以作为所用载体气体的函数而得到控制。当使用ALD技术,即不使用其它的诸如CVD的淀积技术,完全淀积难熔金属层时也会出现同样的效果。
再参照图2,可以使用由控制器22执行的计算机程序对淀积钨层的过程进行控制。最后,控制器22包含中央处理器(CPU)70、诸如随机存取存储器(RAM)72的非永久性存储器和诸如软盘驱动器和硬盘驱动器的永久存贮介质,该软盘驱动器用于使用软盘。计算机程序指令可以用各种传统计算机可读程序语言编写;例如68000汇编语言、C、C++、Pascal和Fortran等。通过传统的文本编辑器将适当的程序指令输入一个文件,或者多个文件,并且保存或者录入诸如硬盘74的计算机可读介质中。如果输入的指令文本为高级语言,指令被编译,然后将生成的编译指令与预编译程序Windows库存程序相连接。为执行连接并编译目标指令,系统用户调用目标指令,使CPU70将指令装入RAM72中。然后CPU70读取并执行指令以实现程序规定的任务。
虽然本发明已对特定实施例作出说明,熟知本领域的可以认识到,反应条件的各种变化,即温度、压力和膜厚等,可以被替换并包含于其中。另外,当分成两部分的淀积过程已经被描述为在相同的系统内进行时,大块淀积可以发生于主机架淀积系统的处理室内,该主机架淀积系统不同于处理室用作淀积成核层的主机架淀积系统。最后,除了钨外,还可以淀积其它的难熔金属,并且,可以使用其它淀积技术以取代CVD。例如物理气相淀积(PVD)技术或者使用CVD和PVD技术的组合。本发明的范围不应限于上面所述。本发明的范围更适于基于这里所述的权利要求书,该权利要求书包含其等同物的全部范围。

Claims (14)

1.一种在置于处理室内的衬底上形成层的方法,该方法包含:
通过依次将所述衬底暴露于第一和第二反应气体中以形成成核层;
通过气相淀积对所述成核层进行化合物的大块淀积,在所述成核层上形成大块淀积物层,该化合物包含于所述第一和第二反应气体其中之一。
2.如权利要求1所述的方法,其中,形成所述成核层和形成所述大块淀积物层在同一个处理室内进行。
3.如权利要求1所述的方法,进一步包含:提供第一和第二处理室,在形成所述成核层前将所述衬底置于所述第一处理室内,在形成所述大块淀积物层前将所述衬底置于所述第二处理室里,其中,形成所述成核层发生于所述第一处理室内并且形成所述大块淀积物层发生于所述第二处理室内。
4.如权利要求1所述的方法,其中,第二反应气体具有与其相关的氟原子,第一和第二反应气体各自与载体气体一起被引入所述处理室内,并且进一步包含控制所述成核层中氟原子的量,该氟原子的量为所述载体气体的函数。
5.如权利要求1所述的方法,其中,在所述成核层上形成大块淀积物层包含使用化学气相淀积形成所述大块淀积物层。
6.如权利要求1所述的方法,其中,在所述成核层上形成大块淀积物层包含使用物理气相淀积形成所述大块淀积物层。
7.如权利要求1所述的方法,其中,形成成核层进一步包含将所述第一和第二气体引入其中,以在将所述衬底暴露于所述第二反应气体前,通过在其中引入清洗气体清空所述处理室中的所述第一反应气体。
8.如权利要求1所述的方法,其中,形成成核层进一步包含,在引入所述第二反应气体前,通过抽空所述处理室中的所有气体清空所述处理室中的所述第一反应气体。
9.如权利要求1所述的方法,其中,形成成核层进一步包含,在将所述衬底暴露于所述第二反应气体前,通过引入清洗气体继而抽空所述处理室里的所有气体,清空所述处理室中的所述第一反应气体。
10.如权利要求1所述的方法,其中,形成成核层包括形成包含含有氢的化合物和难熔金属的交替叠层。
11.一种对于衬底的处理系统,所述系统包含:
限定处理室的装置;
支撑部件,该支撑部件置于所述处理室里,用以支撑所述衬底;
与所述处理室保持流体联系的气体传输系统;
与所述处理室保持热联系的温度控制系统;
与所述处理室保持流体联系的压力控制系统;
与所述气体传输系统、所述温度控制系统和所述压力控制系统保持电子联系的控制器;
与所述控制器保持数据联系的存贮器,所述存贮器包含其中录入有计算机可读程序的计算机可读介质,所述计算机可读程序包含第一批指令,该指令用以控制所述气体传输系统,以通过依次将所述衬底暴露于第一和第二反应气体中而形成成核层;以及第二批指令,该指令用以控制所述气体传输系统,以通过对所述成核层进行化合物的气相淀积,在所述成核层上形成大块淀积物层,该化合物包含于所述第一和第二反应气体中之一。
12.如权利要求11所述的处理系统,进一步包含:界定附加处理室的附加装置;附加支撑部件,该附加支撑部件置于所述第二处理室里以支撑所述衬底;与所述第二处理室保持热联系的附加温度控制系统;与所述第二处理室保持流体联系的附加压力控制系统;置于所述第一处理室和所述附加处理室之间的自动操作装置,所述气体传输系统与所述附加处理室保持流体联系,并且所述控制器与所述附加温度控制系统、所述压力控制系统和所述自动操作装置保持电子联系;所述第一批指令进一步包含第一子程序,该第一子程序用以控制所述气体传输系统,以在所述衬底在所述处理室内时形成所述成核层,且所述第二批指令包含第二子程序,该第二子程序用以控制所述自动操作装置,以在所述处理室和所述附加处理室之间移动衬底,并且控制所述气体传输系统,以在所述衬底置于所述第二处理室里时形成所述大块淀积物层。
13.如权利要求12所述的处理系统,其中,所述第二反应气体具有与其相关连的氟原子,并且所述第一批指令进一步包含将所述第一和第二反应气体各自与载体气体一起引入所述处理室内的子程序,并且所述计算机可读程序进一步包含第三批指令,该第三批指令用以控制与成核层相关连的所述氟原子的量,该氟原子的量为所述载体气体的函数。
14.如权利要求13所述的处理系统,其中,所述计算机可读程序包含附加指令,通过将清洗气体引入其中,以在将所述第二反应气体引入之前清空所述处理室内的所述第一反应气体。
CN01121274A 2000-06-28 2001-06-14 使用连续淀积技术淀积难熔金属层的方法与装置 Pending CN1332267A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/605,593 2000-06-28
US09/605,593 US6551929B1 (en) 2000-06-28 2000-06-28 Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US09/678,266 2000-10-03
US09/678,266 US7101795B1 (en) 2000-06-28 2000-10-03 Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer

Publications (1)

Publication Number Publication Date
CN1332267A true CN1332267A (zh) 2002-01-23

Family

ID=27084989

Family Applications (1)

Application Number Title Priority Date Filing Date
CN01121274A Pending CN1332267A (zh) 2000-06-28 2001-06-14 使用连续淀积技术淀积难熔金属层的方法与装置

Country Status (6)

Country Link
US (5) US7101795B1 (zh)
EP (1) EP1167567A1 (zh)
JP (1) JP5021123B2 (zh)
KR (1) KR100731399B1 (zh)
CN (1) CN1332267A (zh)
TW (1) TWI291497B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113557320A (zh) * 2019-03-11 2021-10-26 朗姆研究公司 用于沉积含钼膜的前体

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) * 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
WO2002044437A2 (en) * 2000-11-02 2002-06-06 Composite Tool Company, Inc. High strength alloys and methods for making same
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
JP4032872B2 (ja) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7150789B2 (en) 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US7022605B2 (en) 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
JP2004207281A (ja) * 2002-12-20 2004-07-22 Fujitsu Ltd 多層配線構造およびその形成方法、半導体装置
JP3956049B2 (ja) * 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US8721846B2 (en) 2004-11-30 2014-05-13 Tokyo Electron Limited Method of forming film, film forming apparatus and storage medium
JP4863625B2 (ja) * 2005-02-17 2012-01-25 アイメック フィルム成長開始の強化法
US7335594B1 (en) * 2005-04-27 2008-02-26 Spansion Llc Method for manufacturing a memory device having a nanocrystal charge storage region
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100707092B1 (ko) * 2005-12-29 2007-04-13 동부일렉트로닉스 주식회사 반도체 장치의 박막 및 금속 배선 형성 방법
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
CN100590803C (zh) * 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090032949A1 (en) * 2007-08-02 2009-02-05 Micron Technology, Inc. Method of depositing Tungsten using plasma-treated tungsten nitride
JP5428151B2 (ja) * 2007-11-26 2014-02-26 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
JP2010093116A (ja) * 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
JP6336866B2 (ja) * 2013-10-23 2018-06-06 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
JP6147913B2 (ja) * 2014-03-28 2017-06-14 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
CN110249410B (zh) 2017-02-01 2023-07-04 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP6788545B2 (ja) * 2017-04-26 2020-11-25 東京エレクトロン株式会社 タングステン膜を形成する方法
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
KR102344996B1 (ko) * 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US20190067003A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
KR20210092840A (ko) * 2018-12-14 2021-07-26 램 리써치 코포레이션 3d nand 구조체 상의 원자 층 증착
CN113195783A (zh) * 2018-12-19 2021-07-30 恩特格里斯公司 在还原共反应剂存在下沉积钨或钼层的方法
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
WO2023059381A1 (en) * 2021-10-05 2023-04-13 Applied Materials, Inc. Methods for forming low resistivity tungsten features

Family Cites Families (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0766910B2 (ja) 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (ja) 1986-08-26 1996-03-29 セイコー電子工業株式会社 化合物半導体薄膜の成長方法
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
JP2587623B2 (ja) 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
JP2929291B2 (ja) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 絶縁ゲート電界効果トランジスタの製造方法
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
DE3704505A1 (de) 1987-02-13 1988-08-25 Leybold Ag Einlegegeraet fuer vakuumanlagen
JPH0727861B2 (ja) 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
JPH0812844B2 (ja) 1987-03-27 1996-02-07 日本電気株式会社 ▲iii▼−v族化合物半導体およびその形成方法
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
JPH0666274B2 (ja) 1987-07-01 1994-08-24 日本電気株式会社 ▲iii▼−v族化合物半導体の形成方法
US4840921A (en) 1987-07-01 1989-06-20 Nec Corporation Process for the growth of III-V group compound semiconductor crystal on a Si substrate
FI81926C (fi) 1987-09-29 1990-12-10 Nokia Oy Ab Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater.
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
EP0344352B1 (en) 1988-06-03 1994-09-28 International Business Machines Corporation Method for making artificial layered high-Tc superconductors
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (ja) 1990-01-31 1995-11-13 新技術事業団 半導体デバイス及びその製造方法
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
JPH042699A (ja) 1990-04-18 1992-01-07 Mitsubishi Electric Corp 結晶成長方法
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
DE4027628A1 (de) 1990-08-31 1992-03-05 Wolters Peter Fa Vorrichtung zur steuerung oder regelung von laepp-, hon- oder poliermaschinen
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US6001669A (en) 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (ja) 1991-11-30 1999-12-06 科学技術振興事業団 半導体結晶のエピタキシャル成長方法
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
JPH05206081A (ja) * 1992-01-28 1993-08-13 Sony Corp ドライエッチング方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
AU4378893A (en) 1992-05-22 1993-12-30 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
JP3415207B2 (ja) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
JP3405466B2 (ja) 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (ja) * 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd 高密度dramの製造方法および高密度dram
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JP3124861B2 (ja) 1993-03-24 2001-01-15 富士通株式会社 薄膜成長方法および半導体装置の製造方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
JPH0794727A (ja) * 1993-09-21 1995-04-07 Toshiba Corp 半導体装置の製造方法
JPH0794425A (ja) * 1993-09-24 1995-04-07 Toshiba Corp 金属薄膜の形成方法および金属薄膜の形成装置
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3008782B2 (ja) 1994-07-15 2000-02-14 信越半導体株式会社 気相成長方法およびその装置
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
TW295677B (zh) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
JPH08148431A (ja) 1994-11-24 1996-06-07 Mitsubishi Electric Corp Mbe装置、及びガス分岐配管装置
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
KR0167248B1 (ko) * 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
KR100244041B1 (ko) 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
US5804488A (en) * 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6026967A (en) * 1997-01-30 2000-02-22 Electrocom Automation Method and apparatus for sorting flat articles
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (ja) * 1996-08-21 1999-07-26 工業技術院長 Iii族原子層の形成方法
US6001420A (en) * 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) * 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) * 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) * 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
WO2000047404A1 (en) * 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6551292B1 (en) * 1999-06-28 2003-04-22 The Procter & Gamble Company Shaped flange for a urine collector
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6326297B1 (en) * 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6274484B1 (en) * 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) * 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6797608B1 (en) * 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100387255B1 (ko) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) * 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) * 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
SE518981C2 (sv) * 2000-12-14 2002-12-17 Shl Medical Ab Autoinjektor
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) * 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
JP3730962B2 (ja) 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
US20020144657A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) * 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) * 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) * 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) * 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7524374B2 (en) * 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
JP5342110B2 (ja) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7977791B2 (en) * 2007-07-09 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of boron-containing metal cap pre-layer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113557320A (zh) * 2019-03-11 2021-10-26 朗姆研究公司 用于沉积含钼膜的前体

Also Published As

Publication number Publication date
TWI291497B (en) 2007-12-21
EP1167567A1 (en) 2002-01-02
US7220673B2 (en) 2007-05-22
US7465665B2 (en) 2008-12-16
JP5021123B2 (ja) 2012-09-05
US7709385B2 (en) 2010-05-04
US20040209465A1 (en) 2004-10-21
KR100731399B1 (ko) 2007-06-21
KR20020001653A (ko) 2002-01-09
US7101795B1 (en) 2006-09-05
US20090156003A1 (en) 2009-06-18
US20070218688A1 (en) 2007-09-20
US20060264031A1 (en) 2006-11-23
JP2002038271A (ja) 2002-02-06

Similar Documents

Publication Publication Date Title
CN1332267A (zh) 使用连续淀积技术淀积难熔金属层的方法与装置
US6551929B1 (en) Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7749815B2 (en) Methods for depositing tungsten after surface treatment
JP4511171B2 (ja) 順次堆積法を用いて複合積層膜を形成するシステムと方法
KR101351657B1 (ko) 박막 형성 방법, 컴퓨터 판독가능 매체 및 박막 기상 증착 시스템
US20070009658A1 (en) Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
JP2005516119A (ja) パルス状ガスフローcvdによるタングステン堆積プロセス
KR20040091958A (ko) 반도체 장치에서 인시튜로 다층 박막을 형성하는 방법 및이를 수행하기 위한 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication