CN1319134C - 在介电材料上选择性沉积阻挡层的方法 - Google Patents

在介电材料上选择性沉积阻挡层的方法 Download PDF

Info

Publication number
CN1319134C
CN1319134C CNB028281985A CN02828198A CN1319134C CN 1319134 C CN1319134 C CN 1319134C CN B028281985 A CNB028281985 A CN B028281985A CN 02828198 A CN02828198 A CN 02828198A CN 1319134 C CN1319134 C CN 1319134C
Authority
CN
China
Prior art keywords
tantalum
barrier layer
dielectric material
precursor
reducing gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028281985A
Other languages
English (en)
Other versions
CN1620721A (zh
Inventor
H·钟
L·陈
V·W·顾
M·X·杨
G·姚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1620721A publication Critical patent/CN1620721A/zh
Application granted granted Critical
Publication of CN1319134C publication Critical patent/CN1319134C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners

Abstract

本发明公开一种选择性沉积阻挡层于介电材料上的方法,该材料包围衬底上的一个或多个金属互连。阻挡层是用循环沉积工艺选择性沉积于金属膜上,循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤。每次沉积循环可替换地包括吸附含难熔金属的前体和还原气体到介电材料上,所述介电材料形成于在工艺腔室中的衬底上。重复所述沉积循环直到获得所需要厚度的所述阻挡层。

Description

在介电材料上选择性沉积阻挡层的方法
相关申请的交叉参考
本申请要求2001年12月21日申请的美国临时申请No.60/342307的权益,该申请在此以参考的方式完整地并入。
技术领域
本发明的实施例一般涉及阻挡层形成的方法。
背景技术
集成电路已经发展到在单个芯片上可以包括数以百万计的元件(如晶体管,电容器和电阻器)的复杂的器件。芯片设计的发展不断地要求更快电路系统和更高的电路密度。更高电路密度的要求需要减小集成电路元件尺寸。
随着集成电路元件尺寸的减小(如亚微米尺寸),用于制造这样的元件的材料对电气性能做出贡献。例如,低电阻金属互连(如铝(Al)和铜(Cu))提供集成电路上元件之间的导电路径。
参看图1,金属互连2通常是通过体绝缘材料4彼此电绝缘的。当邻近金属互连2之间的距离和/或体绝缘材料4的厚度为亚微米量级时,这样的互连2之间出现电容性耦合。在邻近金属互连2之间的电容性耦合可能引起串话和/或电阻-电容(RC)延迟,其降低集成电路的整体性能。
为了最小化邻近金属互连之间的电容性耦合,低介电常数的体绝缘材料4(如介电常数约低于3.5)被采用。低介电常数体绝缘材料的例子包括二氧化硅(SiO2),硅酸盐玻璃和有机硅酸盐玻璃,及其它材料。
此外,阻挡层6常将金属互连2与体绝缘材料4隔开。阻挡层6最小化金属从金属互连2扩散到体绝缘材料4。来自金属互连2的金属扩散到体绝缘材料4是不期望的,因为这样的扩散可影响集成电路的电性能(如串话和/或RC延迟)或使得集成电路不能工作。阻挡层材料的例子包括难熔金属如钛(Ti),钽(Ta)和钨(W),其它金属,以及难熔金属氮化物,如氮化钛(TiN),氮化钽(TaN)和氮化钨(WN),及其它氮化物。
阻挡层材料通常用物理气相沉积(PVD)和/或化学气相沉积(CVD)技术沉积的。这样的技术沉积阻挡层材料于互连结构的所有表面上,该互连结构包括金属互连2和绝缘材料4。然而,当互连结构的尺寸为亚四分之一微米时,阻挡层材料沉积于互连2倾向于增加互连结构的电阻,这可以降低器件的电性能。
因此,需要一种选择性沉积阻挡层于介电材料上的方法。
发明内容
提供一种在介电材料上选择性沉积阻挡层的方法,该介电材料围绕一个或多个在衬底上的金属互连。阻挡层可以包括难熔金属氮化物,如,氮化钽(TaN)。该阻挡层是用循环沉积工艺(cyclicl depositionprocess)选择性地沉积于介电层上,该工艺包括预定次数的沉积循环,然后是清洗步骤。
在循环沉积工艺中,每次沉积循环包括可替换地吸附含难熔金属的前体(precursor)和还原气体到介电材料上,该介电材料形成于在工艺腔室中的衬底上。含难熔金属的前体和还原气体反应以形成阻挡层于介电材料上。在预定次数沉积循环完成后,工艺腔室中的含难熔金属的前体和还原气体被清洗。执行预定次数的沉积循环的沉积序列之后是清洗工艺腔室,然后重复沉积循环直到达到所需的阻挡层厚度。
选择预定次数的沉积循环,以利用所需的沉积循环次数的差异,开始沉积阻挡层材料于不同类型的材料层。因此,沉积循环的预定次数的选择有利于开始在介电材料上的阻挡层材料的沉积,但是该循环次数少于需要开始沉积这样的阻挡层材料于金属互连上的沉积循环次数。同样地,阻挡层材料只沉积于介电材料上,而不沉积于任何金属互连上。
阻挡层的选择性沉积和集成电路制造工艺相兼容。在一种集成电路制造工艺中,阻挡层用于镶嵌结构(damascene structure)中。对于这样的实施例,优选的工艺序列包括提供一个或多个介电材料层于其上的衬底,该介电材料层具有穿过其中到达金属特征部件(metalfeatures)的通孔。阻挡层是用循环沉积工艺选择性沉积于介电材料上的,其中沉积循环次数是预定的,每次循环包括可替换地吸附含难熔金属前体和还原气体到介电材料上,然后是工艺腔室清洗步骤。重复循环沉积工艺直到达到所需的阻挡层厚度。在阻挡层被选择性地沉积于介电材料上后,通过用导电性材料填充通孔完成镶嵌结构。
在一个实施方式中,公开一种在介电材料上选择性形成阻挡层的方法。该方法包括:提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;利用循环沉积工艺,在所述介电材料上,形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中含难熔金属的前体是含钽前体。
在一个实施方式中,公开一种在介电材料上选择性形成阻挡层的方法。该方法包括:提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;利用循环沉积工艺,在所述介电材料上,形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中所述还原气体选自下面的物质组成的组:联氨(N2H4)、甲基联氨(CH3N2H3)、二甲基联氨(C2H6N2H2)、叔丁基联氨(C4H9N2H3)、苯基联氨(C6H5N2H3)、2,2’-偶氮异丁烷((CH3)6C2N2)和叠氮基乙烷(C2H5N3)。
在另一种实施方式种,公开一种在介电材料上选择性形成阻挡层的方法。该方法包括:提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;利用循环沉积工艺,在所述介电材料上,形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中所述还原气体以为150sccm到700sccm的流速提供。
在另一种实施方式中,公开一种在介电材料上选择性形成阻挡层的方法。该方法包括:提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;利用循环沉积工艺,在所述介电材料上,形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中提供所述还原气体的持续时间为3秒到45秒。
在另一种实施方式中,公开一种在介电材料上选择性形成阻挡层的方法。该方法包括:提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;利用循环沉积工艺,在所述介电材料上,形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行提供清洗气体的清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中所述清洗气体以100sccm到1000sccm的流速提供。
在另一种实施方式中,公开一种在介电材料上选择性形成阻挡层的方法。该方法包括:提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;利用循环沉积工艺,在所述介电材料上,形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中所述工艺在0.5托的压力执行。
在另一种实施方式中,公开一种选择性形成氮化钽阻挡层于介电材料上的方法。该方法包括:提供具有暴露的金属特征部件的衬底,所述金属特征部件被介电材料包围;利用循环沉积工艺,在所述介电材料上形成氮化钽阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含钽的前体和还原气体到工艺环境中;以及重复所述循环沉积工艺直到形成所需要厚度的所述氮化钽阻挡层。
附图说明
为了实现本发明的上述特征的方式并能被详细理解,已经总结如上的本发明的更具体的说明,可通过具体实施例进行,这些实施例如附图所说明的。
然而,应该注意,附图只说明本发明的典型实施例,且因此不能被认为是对本发明范围的限制,对于本发明,包括其它等价的有效实施例。
图1显示金属互连结构的剖视图,其包括形成于金属互连和体绝缘材料上的阻挡层;
图2描绘工艺腔室示意的剖视图,其可用于执行这里所述的循环沉积工艺;
图3说明结合选择性地沉积阻挡层于介电材料上的工艺序列,该沉积过程应用根据此处所述的一个实施例的循环沉积工艺;
图4说明结合在介电材料上选择性沉积阻挡层的工艺序列,该沉积过程应用根据此处所述的一个可替换的实施例的循环沉积工艺;
图5A显示开始形成氮化钽层于介电材料上所需的沉积循环次数与开始形成氮化钽层于铜上所需的沉积循环次数作比较的曲线图;
图5B显示,在约为200℃的温度,开始形成氮化钽层于氧化硅和铜上所需的沉积循环次数的曲线图;
图5C显示作为开始形成氮化钽层于氧化硅上所需的沉积循环次数作为工艺腔室压力的函数的曲线图;以及
图6A-6C显示在互连制造工艺的不同阶段的衬底剖视图。
具体实施方式
图2示意地示出工艺腔室36的剖视图,其可用于按照此处描述的实施例执行循环沉积工艺。工艺腔室36通常容放晶片支撑基座148,其被用于支撑基座(未示出)。晶片支撑基座148利用位移装置148a可在垂直方向在工艺腔室36内移动。
根据具体的工艺,衬底在沉积之前或沉积过程中可被加热到某个所需的温度。例如,晶片支撑基座148可利用内嵌的加热器元件152a加热。晶片支撑基座148可通过从交流电源152施加电流至加热器元件152a电阻性地加热。以便衬底(未示出)通过基座148加热。可替换地,晶片支撑基座148可利用辐射加热器加热,如灯(未示出)。
温度传感器150a,如热电偶,也内嵌在晶片支撑基座148中以常规的方式监视基座148的温度。测量的温度闭环反馈以控制用于加热元件152a的交流电源152,以便保持或控制衬底温度于所需的温度,此温度适合于特定的工艺应用。
真空泵118用于抽取工艺腔室36且保持工艺腔室内36的压力。通过气体歧管134,工艺气体被引入到工艺腔室36中,该气体歧管位于晶片支撑基座148上方。气体歧管134被连到气体面板111,该气体面板控制并供应多种工艺气体至工艺腔室36。
进入气体歧管134的气流的适当控制和调节是通过质量流量控制器(未示出)和微处理控制器154执行的。气体歧管134使工艺气体引入并均匀分布于工艺腔室36。而且,气体歧管134可以被加热以防止任何反应性气体凝结于歧管内。
气体歧管134包括多个电子控制阀(未示出)。用于此处的电子控制阀指任何这样的控制阀,其能够在少于约1-2秒时间,更优选地小于约0.1秒的阀门开关周期,以提供快速和精确的进入工艺腔室36的气流。
微处理器控制器154可以是任何一种通用目的的计算机处理器(CPU)171,其能够被用于工业场所,以用于控制多种腔室和子处理器(sub-processors)。计算机可使用任何合适的存储器172,如随机存储器,只读存储器,软盘,硬盘,或任何其它形式的数字存储,本地的或远程的。多种支撑电路173可耦合到CPU上,以传统方式支持处理器。必要的软件程序可存储在存储器上或由第二CPU执行,该第二CPU是远程的。
执行软件程序以初始化工艺配方(process recipe)或序列(sequence)。软件程序被执行的时候,其将通用目的的计算机转换为特定的工艺计算机,该工艺计算机控制腔室操作,以便腔室工艺被执行。例如,软件程序可以用于精确控制电子控制阀的活动以用于根据本发明的工艺序列的执行。可替换地,软件程序可在硬件中执行,如应用特定集成电路或其它类型硬件实现,或软件或硬件的组合。
在介电层上沉积选择性阻挡层
描述选择性沉积阻挡层于衬底上形成的介电层上的方法。阻挡层可包括难熔金属氮化物,如氮化钽(TaN),及其它物质。阻挡层是用循环沉积工艺选择性沉积于介电层上,循环沉积工艺包括预定次数的沉积循环,然后是清洗步骤。
在循环沉积工艺中,每次沉积循环包括可替换地吸附含难熔金属的前体和还原气体到介电层上,该介电层形成于在工艺腔室中的衬底上。含难熔金属的前体和还原气体反应形成阻挡层于介电层上。在预定次数的沉积循环完成后,工艺腔室中的含难熔金属的前体和还原气体被清洗。执行预定次数的沉积循环的沉积序列之后是工艺腔室清洗,沉积序列可重复直到达到所需的阻挡层厚度。
选择预定次数的沉积循环以利用沉积循环次数的差异,开始沉积阻挡层材料于不同类型的材料层上。因此,沉积循环的预定次数的选择有利于开始在介电材料上的阻挡层材料的沉积,但是该次数比为了开始沉积这样的阻挡层材料于邻近的金属膜上所需的沉积循环次数少。
图3图示根据本发明的工艺序列200,详细说明用于选择性沉积阻挡层于介电层上的多个步骤,其利用恒定的载体气流。这些步骤可执行于类似于上面参考图2所说明的工艺腔室。如步骤202指示的那样,衬底被引入到工艺腔室。衬底可以是,例如硅衬底,其上具有由介电材料层围绕的一个或多个铜特征部件。调整工艺腔室条件,如温度和压力,以增强阻挡层材料选择性沉积于介电材料层上,且阻止阻挡层材料沉积于金属膜上。
在一个实施例中,其中恒定的载体气流是必须的,载体气流建立于工艺腔室中,如步骤204所指示的那样。可选择载体气以便还用作清洗气体以除去工艺腔室中挥发性的反应物和/或副产品,载体气诸如,例如氦气(He),氩气(Ar),氮气(N2)和氢气(H2),以及它们的组合,及其它气体也可使用。
参看步骤206,在载体气建立于工艺腔室中后,含难熔金属的前体的一个脉冲(pulse)加入到载体气流中。此处用的术语脉冲是指一定剂量的材料注入到工艺腔室或载体气流中。该脉冲可包括含难熔金属前体的一次注入,或几次短暂的连续的注入。含难熔金属前体的脉冲持续预定的时间间隔。当阻挡层包括氮化钽(TaN)时,合适的含钽前体可包括,例如,五(二甲酰氨基)钽(pentakis(dimethylamido)tantalum)(PDMAT)、五(二乙酰氨基)钽(pentakis(diethylamido)tantalum)(PDEAT)、五(甲乙酰氨基)钽(pentakis(ethylmethylamido)tantalum)(PEMAT)、三(甲乙酰氨基)叔丁基酰氨基钽(t-butylaminotris(methylethylamido)tantalum)(TBTMET)、三(二甲酰氨基)叔丁基酰氨基钽(t-butylamino tris(dimethylamido)tantalum)(TBTDMT)、二(环戊二烯基)三氢化钽(bis(cyclopentadienyl)tantalum trihydride)、二(甲基环戊二烯基)三氢化钽(bis(methylcyclopentadienyl)tantalumtrihydride)、和三(二乙基酰氨基)叔丁基酰氨基钽(t-butylaminotris(diethylamido)tantalum)(TBTDET),及其它类似物质。
用于含难熔金属前体脉冲的时间间隔可根据多个因子诸如,例如所采用的工艺腔室的体积容量,耦合到其上的真空系统,和所用的反应物的活泼性/反应性而改变。一般来说,有利地选择工艺条件以便含难熔金属前体的至少一个单层被吸附在介电层上,而没有含难熔金属前体被吸附在邻近的金属膜上。此后,被保存在工艺腔室中的过量的含难熔金属的前体可通过恒定载体气流与真空系统结合而除去。
在步骤208,在过量的含难熔金属前体通过载体气流从工艺腔室中被充分除去,以防止与随后提供的工艺气体反应或形成颗粒,之后,还原气体脉冲加入到载体气流中。当阻挡层包括氮化钽(TaN)合适的还原气体,包括例如,氨气(NH3)、联氨(N2H4)、甲基联氨(CH3N2H3)、二甲基联氨(C2H6N2H2)、叔丁基联氨(t-butyl hydrazine)(C4H9N2H3)、苯基联氨(C6H5N2H3)、2,2’-偶氮异丁烷(2,2’-azoisobutane)((CH3)6C2N2)和叠氮基乙烷(C2H5N3),及其它物质。
还原气体的脉冲也持续预定的时间间隔。一般来说,还原气体脉冲的时间间隔应足够长以提供足够量的还原气体以与含难熔金属的前体反应,该前体已经被吸附在介电层上。此后,过量的还原气体通过载体气流和真空系统结合冲洗工艺腔室被清除。
步骤204到步骤208包括用于阻挡层沉积循环的实施例。对这样的实施例,恒定的载体气流供应到工艺腔室,其由交互的脉冲周期和非脉冲周期调节,其中脉冲周期中,含难熔金属的前体和还原气体及载体气流交替通过,而非脉冲周期只包括载体气流。
用于含难熔金属的前体和还原气体的每个脉冲的时间间隔可以是相同的。也就是说,含难熔金属的前体的持续时间和还原气体的脉冲持续时间是相同的。对于这样的实施例,用于含难熔金属前体的脉冲时间间隔(T1)等于用于还原气体的脉冲时间间隔(T2)。
可替换地,用于含难熔金属的前体和还原气体的每个脉冲的时间间隔可以是不同的。也就是说,含难熔金属的前体的持续时间可以比还原气体的脉冲持续时间短或长。对于这样的实施例,用于含难熔金属前体的脉冲时间间隔(T1)不同于用于还原气体的脉冲时间间隔(T2)。
而且,在含难熔金属前体和还原气体的每个非脉冲之间时间可以相同。也就是说,在含难熔金属前体和还原气体的每个脉冲之间的非脉冲持续时间可以是相同的。对于这样的实施例,在含难熔金属前体和还原气体的脉冲之间非脉冲时间间隔(T3)等于在还原气体和含难熔金属前体脉冲之间的非脉冲的时间间隔(T4)。在非脉冲时间间隔时间段,只有恒定的载体气流被供应到工艺腔室。
可替换地,在每个含难熔金属前体和还原气体的脉冲之间的非脉冲时间段可以有不同的时间长度。也就是说,在含难熔金属前体和还原气体的每个脉冲之间的持续时间段可比还原气体和含难熔金属前体每个脉冲之间的非脉冲持续时间短或长。例如一个实施例,在含难熔金属前体和还原气体的脉冲之间的非脉冲时间间隔(T3)不同于还原气体和含难熔金属前体的脉冲之间的非脉冲时间间隔(T4)。在非脉冲时间段,只有恒定的载体气流被供应到工艺腔室。
此外,对于每次沉积循环,含难熔金属前体,还原气体的每个脉冲时间间隔,和它们之间的非脉冲时间段,可以有相同的持续时间。例如,在第一次沉积循环(C1)中,用于含难熔金属前体的脉冲的时间间隔(T1)可与用于在随后的沉积循环(C2…CN)中的含难熔金属前体的脉冲时间间隔具有相同的持续时间。相似地,第一次沉积循环(C1)中,还原气体的每个脉冲持续时间及在含难熔金属前体的脉冲和还原气体脉冲之间的非脉冲时间段,可与随后的沉积循环(C2…CN)中还原气体的每个脉冲和在含难熔金属前体和还原气体的脉冲之间的非脉冲时间段分别具有相同的持续时间。
可替换地,对于阻挡层沉积工艺循环,含难融金属前体、还原气体的至少每一个脉冲的时间间隔和它们之间一次或多次沉积循环的非脉冲时间段可具有不同的持续时间。例如,在第一次沉积循环(C1)中,用于含难熔金属前体脉冲的时间间隔(T1)可长或短于随后的沉积循环(C2…CN)中用于含难熔金属前体的脉冲的时间间隔(T1)。相似地,在沉积循环(C1)中,还原气体的一个或多个脉冲的持续时间或在含难熔金属前体和还原气体的脉冲之间的非脉冲时间段,可以分别长或短于随后的沉积循环(C2…CN)中还原气体相应的脉冲持续时间或含难熔金属前体脉冲和还原气体之间脉冲的非脉冲时间段。
参考步骤210,在每次沉积循环(步骤204到208)之后,确定总的执行的沉积循环次数。如果没有执行预定的沉积循环次数,步骤204到208被重复直到完成预定的沉积循环次数。然而,如果预定的沉积循环次数已经完成,工艺腔室中的含难熔金属前体和还原气体都被清洗,如步骤212所指示的那样。工艺腔室可用载体气流清洗。在工艺腔室被清洗之后,可执行额外预定次数的沉积循环(步骤204到208),直到获得所需要的阻挡层厚度,如步骤214所指示的那样,或如步骤216所指示的那样结束。
对于特定的阻挡层材料,选择预定次数的沉积循环以在最初的几次沉积循环中开始沉积阻挡材料于介电层上,但是该预定次数比所需的开始沉积这样阻挡层材料于邻近金属膜上的沉积循环次数少。限制沉积循环次数为预定的次数,该预定次数比所需的开始沉积阻挡材料于邻近的金属膜上的次数少,然后清洗工艺腔室,以允许仅在介电层上选择性沉积阻挡材料。
在图4中所描述的可替换的工艺序列中,阻挡层沉积循环可包括分开的含难熔金属前体的脉冲。对这样的实施例,阻挡层沉积序列300包括引入衬底至工艺腔室(步骤302)中,提供载体气脉冲至工艺腔室(步骤304),提供含难熔金属前体的脉冲至工艺腔室(步骤306),提供载体气脉冲至工艺腔室(308),提供还原气体脉冲至工艺腔室(步骤310),及重复步骤304到310,直到执行了预定次数的沉积循环(步骤312)。当预定次数的沉积循环完成,工艺腔室中的含难熔金属前体和还原气体(步骤314)被清洗。在工艺腔室被清洗后,可执行额外预定次数的沉积循环(步骤304到310),直到获得所需要的阻挡层厚度(步骤316),或结束(步骤318)。
含难熔金属前体,还原气体和载体气的每个脉冲的时间间隔,可以与如上面关于图3所讨论的具有相同或不同的持续时间。可替换地,在阻挡层沉积工艺的一次或多次沉积循环中,含难熔金属前体,还原气体和载体气的一个或多个脉冲的相应的时间间隔可具有不同的持续时间。
在图3-4中描述了阻挡层沉积循环,其以含难熔金属前体脉冲开始,然后是还原气体脉冲。可替换地,阻挡层沉积循环可从还原气体脉冲开始,然后是含难熔金属前体的脉冲。此外,一个脉冲可包括一种气体的一次注入,或几次短的,连续的注入。
一个用于选择性形成氮化钽阻挡层于氧化硅介电材料上的示例性沉积循环,包括依次地提供五(甲乙酰氨基)钽(PEMAT)脉冲和氨气脉冲至工艺腔室,类似于上面关于图2所做的说明,该氧化硅介电材料邻近于铜特征部件。对于这样的沉积循环,提供氩气至适当的气流控制阀,例如电子气流控制阀,流速在100sccm(标准立方厘米每秒)到1000sccm,优选为500sccm,然后,约脉动5秒到25秒,优选为15秒。通过通入氢气(H2),提供五(甲乙酰氨基)钽(PEMAT)至合适的气流控制阀,例如,电子气流控制阀,其中氢气的流速约为30sccm到约1500sccm,优选为100sccm,通过含液体PEMAT的安瓿在约50℃到95℃的温度下,脉动约5秒到50秒,优选约为15秒。然后以约100sccm到约1000sccm的速率提供氩气,优选为约500sccm,脉动5秒到25秒,优选约为15秒。提供氨气(NH3)至适当的气流控制阀,例如电子气流控制阀,以约150sccm到700sccm的流速,优选为250sccm,然后脉动约3秒到45秒,优选5秒。衬底的温度保持在150℃到350℃,优选为200℃,腔室压力最大为40托,优选为0.5托。
在执行完预定次数的沉积循环后,通过通入载体气清洗工艺腔室。气体,例如氩气(Ar),氦气(He),氮气(N2)和氢气(H2),及其它气体可以使用。提供用来清洗工艺腔室的气体流速为约100sccm到约1000sccm,优选为约500sccm,持续时间最长为2分钟,优选为1分钟。
图5A说明开始形成氮化钽层于介电氧化物上所需的沉积循环次数,并与开始形成氮化钽层于铜上所需的沉积循环次数相比较。每次沉积循环都在225℃的衬底上执行,沉积腔室的压力约为0.5托,五(甲乙酰氨基)钽和氢气(H2)的流速约为100sccm,其约脉动15秒,氨气流约为250sccm,约脉动5秒,氩气流约为500sccm,其在每个五(甲乙酰氨基)钽(PEMAT)脉冲和每个氨气(NH3)脉冲之间约脉动15秒。
参考图5A,在第一次沉积循环中,氮化钽(TaN)开始沉积于氧化硅上,如线400所指示的那样。在第五次沉积循环,氮化钽(TaN)开始沉积于氟硅酸盐玻璃(FSG)上,如线405所指示的那样。然而,在第14次沉积循环,氮化钽开始沉积于铜上,如线410所指示的那样。同样地,对于上面关于图5A所述的工艺条件,选择性沉积氮化钽(TaN)于氧化硅或氟硅酸盐(FSG)上,而不沉积于铜上,要求工艺腔室每完成13次沉积循环清洗一次。
开始形成氮化钽(TaN)层于材料层上所需的沉积循环次数可作为衬底的温度的函数变化。例如,氮化钽(TaN)层在衬底温度为200℃时形成于氧化硅和铜上。每次沉积循环在约为0.5托的腔室压力下执行,带有氢气的五(甲乙酰氨基)钽的流速约为100sccm,氢气(H2)脉动约15秒,氨气流速为250sccm,其脉动约5秒,而氩气(Ar)流速约为500sccm,其在每个五(甲乙酰氨基)钽(PEMAT)脉冲和每个氨气脉冲之间脉动约15秒。
参考图5B,大约需要5次沉积循环以开始形成氮化钽层于氧化硅上,衬底温度约为200℃,如线415所指示的那样,相比较,当衬底温度为225℃时只要一次沉积循环,如线400所指示的那样(图5A)。相比较,约需要40次沉积循环以开始形成氮化钽(TaN)层于铜上,衬底温度约为200℃,如线420所指示的那样,相比较,当衬底温度为225℃时需要14次沉积循环,如线410所指示的那样(图5A)。
所需的沉积循环次数以开始形成氮化钽层于氧化硅上,可以作为工艺腔室压力的函数而变化。例如,在工艺腔室压力为0.5托,1托,2托和6托时,氮化钽层形成于氧化硅上。每次沉积循环在衬底温度约为210℃下执行,带有氢气的五(甲乙酰氨基)钽流速约为100sccm,氢气(H2)约脉动15秒,氨气(NH3)流速为250sccm,其脉动约5秒,而氩气(Ar)流速约为500sccm,其在每个五(甲乙酰氨基)钽(PEMAT)脉冲和每个氨气(NH3)脉冲之间约脉动15秒。
参考图5C,开始形成氮化钽(TaN)层于氧化硅上约需要8次沉积循环,工艺腔室的压力为0.5托,1托和2托,如线440,线435和线430分别所指示的那样。相比较,开始形成氮化钽(TaN)层氧化硅上约需要两次沉积循环,工艺腔室的压力为6托,如线425所指示的那样。
集成电路制造工艺
图6A-6C图示在集成电路制造工艺序列中不同阶段的衬底500的示意剖视图,该衬底上有形成于介电材料上的氮化钽阻挡层。根据特定的加工阶段,衬底500可相当于硅衬底,或已经在衬底500上形成的其它材料层。例如图6A图示具有导电引线501于其上的衬底500的剖视图,该引线501被介电材料502包围。导电引线501可以是金属(如铝(Al)或铜(Cu))。介电材料502可是氧化物(如,氧化硅)。
图6A图示一个实施例,其中衬底500是具有铜引线于其上的硅。根据所要制造的结构尺寸,铜引线501厚度为5000埃()到2微米。介电材料502包围铜引线501。介电材料502可以是低介电常数的氧化硅层。介电材料502的厚度约为5微米。
通孔503形成于介电材料502中,并连到铜引线501。通孔是用传统的光刻和蚀刻技术在介电材料502中形成的。
参考图6B,氮化钽阻挡层505选择性地形成于介电材料502上,其包括通孔503的侧壁。氮化钽阻挡层505可根据上面关于图3-4所述的工艺参数形成。氮化钽阻挡层505的厚度应约为50埃()到500埃()。
此后,参考图6C,通孔503被注入导电性材料506,如铝(Al),铜(Cu),钨(W),或它们的组合。优选地,铜(Cu)用于填充通孔503,这是因为它低电阻率(电阻率约为1.7/cm)。导电性材料506可用化学气相沉积(CVD)技术,物理气相沉积(PVD)技术,电镀技术或其组合沉积。
在包括通孔503的侧壁的介电材料502上形成氮化钽阻挡层505,其在通孔被填充导电性材料506后有利地防止金属迁移到这样的介电材料中。而且,只选择性沉积阻挡层505于通孔503的侧壁最小化互连结构总的电阻的任何增加,否则,将出现阻挡材料505也沉积在铜引线501上。
虽然前述内容是针对本发明的优选实施例,本发明其它的和进一步的实施例也可被设计出来,而不偏离本发明的基本范围及权利要求限定的范围。

Claims (35)

1.一种在介电材料上选择性形成阻挡层的方法,其包括:
提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;
利用循环沉积工艺,在所述介电材料上形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及
重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中所述含难熔金属的前体是含钽前体。
2.根据权利要求1所述的方法,其中所述含钽前体选自下面物质组成的组:五(二甲酰氨基)钽、五(二乙酰氨基)钽、五(甲乙酰氨基)钽、三(甲乙酰氨基)叔丁基酰氨基钽、三(二甲酰氨基)叔丁基酰氨基钽、二(环戊二烯基)三氢化钽、二(甲基环戊二烯基)三氢化钽,和三(二乙基酰氨基)叔丁基酰氨基钽。
3.根据权利要求2所述的方法,其中所述含钽前体是五(甲乙酰氨基)钽。
4.根据权利要求1所述的方法,其中所述含钽前体是通过通入载体气提供的,所述载体气的流速为30sccm到1500sccm,其是通过其中具有所述含钽前体的安瓿提供的。
5.根据权利要求4所述的方法,其中所述含钽前体是通过通入载体气提供的,所述载体气流速为100sccm,其是通过其中具有所述含钽前体的安瓿提供的。
6.根据权利要求1所述的方法,其中提供所述含钽前体持续的时间为5秒到50秒。
7.根据权利要求6所述的方法,其中提供所述含钽前体持续的时间为15秒。
8.一种在介电材料上选择性形成阻挡层的方法,包括:
提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;
利用循环沉积工艺,在所述介电材料上形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及
重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中所述还原气体选自下面的物质组成的组:联氨(N2H4)、甲基联氨(CH3N2H3)、二甲基联氨(C2H6N2H2)、叔丁基联氨(C4H9N2H3)、苯基联氨(C6H5N2H3)、2,2’-偶氮异丁烷((CH3)6C2N2)和叠氮基乙烷(C2H5N3)。
9.一种在介电材料上选择性形成阻挡层的方法,包括:
提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;
利用循环沉积工艺,在所述介电材料上形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及
重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中所述还原气体以150sccm到700sccm的流速提供。
10.根据权利要求9所述的方法,其中所述还原气体以250sccm的流速提供。
11.一种在介电材料上选择性形成阻挡层的方法,包括:
提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;
利用循环沉积工艺,在所述介电材料上形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及
重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,,其中提供所述还原气体的持续时间为3秒到45秒。
12.根据权利要求11所述的方法,其中提供所述还原气体的持续时间为5秒。
13.一种在介电材料上选择性形成阻挡层的方法,包括:
提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;
利用循环沉积工艺,在所述介电材料上形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行提供清洗气体的清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及
重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,,其中所述清洗气体以100sccm到1000sccm的流速提供。
14.一种在介电材料上选择性形成阻挡层的方法,包括:
提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;
利用循环沉积工艺,在所述介电材料上形成阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含难熔金属的前体和还原气体到工艺环境中;以及
重复所述循环沉积工艺直到形成所需要厚度的所述阻挡层,其中所述工艺在0.5托的压力执行。
15.一种选择性形成氮化钽阻挡层于介电材料上的方法,该方法包括:
提供具有暴露的金属特征部件的衬底,该金属特征部件被介电材料包围;
利用循环沉积工艺,在所述介电材料上形成氮化钽阻挡层,其中所述循环沉积工艺包括预定次数的沉积循环,在所述预定次数的沉积循环之后,进行清洗步骤,并且其中每次沉积循环包括可选择地提供含钽的前体和还原气体到工艺环境中;以及
重复所述循环沉积工艺直到形成所需要厚度的所述氮化钽阻挡层。
16.根据权利要求15所述的方法,其中选择所述预定次数的沉积循环以开始形成所述氮化钽阻挡层于所述介电材料上,但是该次数比开始形成氮化层阻挡层于暴露的金属特征部件上所需要的沉积循环次数少。
17.根据权利要求15所述的方法,其中所述含钽前体选自下面物质组成的组:五(二甲酰氨基)钽、五(二乙酰氨基)钽、五(甲乙酰氨基)钽、三(甲乙酰氨基)叔丁基酰氨基钽、三(二甲酰氨基)叔丁基酰氨基钽、二(环戊二烯基)三氢化钽、二(甲基环戊二烯基)三氢化钽,和三(二乙基酰氨基)叔丁基酰氨基钽。
18.根据权利要求17所述的方法,其中所述含钽前体是五(甲乙酰氨基)钽。
19.根据权利要求15所述的方法,其中所述含钽前体是通过通入载体气提供的,所述载体气的流速为30sccm到1500sccm,其是通过其中具有所述含钽前体的安瓿提供的。
20.根据权利要求19所述的方法,其中所述含钽前体是通过通入载体气提供的,所述载体气的流速为100sccm,其是通过其中具有所述含钽前体的安瓿提供的。
21.根据权利要求15所述的方法,其中提供所述含钽前体持续的时间为5秒到50秒。
22.根据权利要求21所述的方法,其中提供所述含钽前体持续的时间为15秒。
23.根据权利要求15所述的方法,其中所述还原气体选自下面的物质组成的组:氨气(NH3)、联氨(N2H4)、甲基联氨(CH3N2H3)、二甲基联氨(C2H6N2H2)、叔丁基联氨(C4H9N2H3)、苯基联氨(C6H5N2H3)、2,2’-偶氮异丁烷((CH3)6C2N2)和叠氮基乙烷(C2H5N3)。
24.根据权利要求23所述的方法,其中所述还原气体是氨气(NH3)。
25.根据权利要求15所述的方法,其中所述还原气体以150sccm到700sccm的流速提供。
26.根据权利要求25所述的方法,其中所述还原气体以250sccm的流速提供。
27.根据权利要求15所述的方法,其中提供所述还原气体的持续时间为3秒到45秒。
28.根据权利要求27所述的方法,其中提供所述还原气体的持续时间为5秒。
29.根据权利要求15所述的方法,其中所述清洗步骤包括提供清洗气体。
30.根据权利要求29所述的方法,其中所述清洗气体是从包括氦气(He)、氩气(Ar)、氮气(N2)、氢气(H2),及其组合的组中选择的。
31.根据权利要求29所述的方法,其中所述清洗气体以100sccm到1000sccm的流速提供。
32.根据权利要求15所述的方法,其中所述工艺在150℃到350℃的温度执行。
33.根据权利要求32所述的方法,其中所述工艺在200℃的温度执行。
34.根据权利要求15所述的方法,其中所述工艺在最大为100托的压力执行。
35.根据权利要求34所述的方法,其中所述工艺在0.5托的压力执行。
CNB028281985A 2001-12-21 2002-12-17 在介电材料上选择性沉积阻挡层的方法 Expired - Fee Related CN1319134C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US34230701P 2001-12-21 2001-12-21
US60/342,307 2001-12-21

Publications (2)

Publication Number Publication Date
CN1620721A CN1620721A (zh) 2005-05-25
CN1319134C true CN1319134C (zh) 2007-05-30

Family

ID=23341249

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028281985A Expired - Fee Related CN1319134C (zh) 2001-12-21 2002-12-17 在介电材料上选择性沉积阻挡层的方法

Country Status (6)

Country Link
US (1) US6939801B2 (zh)
EP (1) EP1459369A2 (zh)
JP (1) JP2005531918A (zh)
KR (1) KR20040068969A (zh)
CN (1) CN1319134C (zh)
WO (1) WO2003056619A2 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR20050091488A (ko) * 2004-03-12 2005-09-15 주식회사 유피케미칼 세라믹 또는 금속박막 증착용 전구체 화합물 및 그제조방법
DE102004015174A1 (de) 2004-03-27 2005-10-13 Aixtron Ag Verfahren zum Abscheiden von insbesondere Metalloxiden mittels nicht kontinuierlicher Precursorinjektion
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100119734A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Laminar flow in a precursor source canister
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
KR102271202B1 (ko) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
JP2017537389A (ja) * 2014-11-07 2017-12-14 ティ−タッチ・インターナショナル・ソシエテ・ア・レスポンサビリテ・リミテT−Touch International S.a.r.l. 選択的誘電体コーティング
WO2016120957A1 (ja) * 2015-01-26 2016-08-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10002789B2 (en) 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN110610897B (zh) * 2018-06-15 2022-02-22 北京北方华创微电子装备有限公司 铜互联结构中扩散阻挡层的制作工艺及铜互联结构
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11114382B2 (en) 2018-10-19 2021-09-07 International Business Machines Corporation Middle-of-line interconnect having low metal-to-metal interface resistance
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US10903111B2 (en) 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0798778A2 (en) * 1996-03-25 1997-10-01 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device of multilayer wire structure
US6025243A (en) * 1989-09-26 2000-02-15 Canon Kabushiki Kaisha Method for preparing a semiconductor device
WO2001015220A1 (en) * 1999-08-24 2001-03-01 Asm America, Inc. Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6281072B1 (en) * 1998-05-11 2001-08-28 Micron Technology, Inc. Multiple step methods for forming conformal layers

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) * 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
FI104383B (fi) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) * 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) * 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025243A (en) * 1989-09-26 2000-02-15 Canon Kabushiki Kaisha Method for preparing a semiconductor device
EP0798778A2 (en) * 1996-03-25 1997-10-01 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device of multilayer wire structure
US6281072B1 (en) * 1998-05-11 2001-08-28 Micron Technology, Inc. Multiple step methods for forming conformal layers
WO2001015220A1 (en) * 1999-08-24 2001-03-01 Asm America, Inc. Method for bottomless deposition of barrier layers in integrated circuit metallization schemes

Also Published As

Publication number Publication date
US6939801B2 (en) 2005-09-06
WO2003056619A3 (en) 2004-03-25
KR20040068969A (ko) 2004-08-02
CN1620721A (zh) 2005-05-25
WO2003056619A2 (en) 2003-07-10
US20030224578A1 (en) 2003-12-04
EP1459369A2 (en) 2004-09-22
JP2005531918A (ja) 2005-10-20

Similar Documents

Publication Publication Date Title
CN1319134C (zh) 在介电材料上选择性沉积阻挡层的方法
CN100524692C (zh) 在衬底上形成金属互连的方法
KR100974114B1 (ko) 내화 금속 실리콘 나이트라이드의 주기적 증착
US7041335B2 (en) Titanium tantalum nitride silicide layer
US6809026B2 (en) Selective deposition of a barrier layer on a metal film
CN101308794B (zh) 钨材料的原子层沉积
US7465665B2 (en) Method for depositing tungsten-containing layers by vapor deposition techniques
CN100471984C (zh) 沉积材料层的方法
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US7786006B2 (en) Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
US6903013B2 (en) Method to fill a trench and tunnel by using ALD seed layer and electroless plating
US20100136313A1 (en) Process for forming high resistivity thin metallic film
US20110244682A1 (en) Atomic layer deposition of tungsten materials
CN1671883B (zh) 铜膜沉积方法
KR20110084275A (ko) 삼원 화합물의 기상 증착 방법
JP2004502301A (ja) 化学吸着技術を用いるホウ化物バリア層の形成
KR20110028377A (ko) 원자층 증착을 위한 장치
WO2004053947A2 (en) Titanium silicon nitride (tisin) barrier layer for copper diffusion
TW201908510A (zh) 包含鈦、矽及氮的多區域擴散阻障
CN1319146C (zh) 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
US6660628B1 (en) Method of MOCVD Ti-based barrier metal thin films with tetrakis (methylethylamino) titanium with octane
KR101145726B1 (ko) 반도체 소자용 삼원계 비정질 이리듐 박막 및 이의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070530

Termination date: 20201217

CF01 Termination of patent right due to non-payment of annual fee