CN1296991C - 体半导体的鳍状fet器件及其形成方法 - Google Patents

体半导体的鳍状fet器件及其形成方法 Download PDF

Info

Publication number
CN1296991C
CN1296991C CNB038111691A CN03811169A CN1296991C CN 1296991 C CN1296991 C CN 1296991C CN B038111691 A CNB038111691 A CN B038111691A CN 03811169 A CN03811169 A CN 03811169A CN 1296991 C CN1296991 C CN 1296991C
Authority
CN
China
Prior art keywords
fin
semiconductor substrate
adjacent
carried out
height
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB038111691A
Other languages
English (en)
Other versions
CN1653608A (zh
Inventor
戴维·M.·弗雷德
爱德华·J.·诺瓦克
贝思·安·雷尼
德温得拉·K.·萨达纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1653608A publication Critical patent/CN1653608A/zh
Application granted granted Critical
Publication of CN1296991C publication Critical patent/CN1296991C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Abstract

本发明提供了一种从体半导体晶片(200)形成鳍(210)状场效应晶体管(FET)同时改善各晶片之间的器件一致性的器件结构和方法。具体地说,本发明提供了保证鳍高度一致的高度控制层(212),诸如衬底(200)的一个受损部分或一个标志层。此外,本发明提供鳍(210)之间的隔离(214),这还通过相对于鳍侧壁的氧化部分(216)选择性氧化衬底的一部分(212),使鳍宽度得到优化和变窄。本发明的器件结构和方法因此具有可以用高效益的体晶片一致地制造鳍状场效应晶体管的优点。

Description

体半导体的鳍状FET器件及其形成方法
技术领域
本发明与半导体制造领域有关,具体地说,与制造鳍状场效应晶体管的方法有关。
背景技术
在生产半器件中降低成本和提高性能的竞争性需求驱使集成电路内的器件密度不断增大。为了增大器件密度,不断需要有新的技术来减小这些半导体器件的形体尺寸。
在诸如设计和制造场效应晶体管(FET)的CMOS技术中增大器件密度的要求特别强烈。FET包括CMOS的主要组件。缩放FET以在CMOS内达到较高器件密度会导致性能和/或可靠性的降低。
已经提出的有利于增大器件密度的一类FET是鳍状场效应晶体管(fin Field Effect Transistor)。在finFET内,晶体管主体形成自一个垂直结构,这种结构由于类似于鱼的背鳍,因此通常称为“鳍”状结构。在鳍的一个或多个侧面上形成finFET的栅极。FinFET具有若干优点,包括有较好的电流控制而不需要增大器件的尺寸。因此,FinFET有利于在保持可接受的性能的同时缩放CMOS的尺寸。
不幸的是,在设计和制造鳍状晶体管中出现一些困难。首先,在鳍状晶体管内一般需要对每个finFET器件进行电隔离。具体地说,不但各finFET器件之间需要相互隔离,还需要隔离各器件本身的源极与漏极,以保证源极与漏极去耦。因此,finFET通常用绝缘体上硅(SOI)晶片制造,以提供各个器件的鳍之间的隔离。具体地说,这些晶体管的鳍由在掩埋的绝缘层上的硅层形成,由于这些鳍下面是掩埋的绝缘层,因此每个鳍与其他鳍隔离。同样,各个finFET的源极与漏极由于掩埋的绝缘层而相互去耦。
虽然用SOI晶片可以提供所要求的finFET隔离,但并不是没有显著缺点的。用SOI晶片形成finFET的特别明显的缺点是SOI晶片比体硅片(bulk silicon wafer)成本高。例如,SOI晶片的成本通常可能是体硅片的2至3倍。这样高的SOI晶片成本,虽然对于有些应用是可以接受的,但在其他应用中是不可接受的。此外,使用SOI晶片不是与所有制造工艺兼容的,例如与通常所用的SiGe工艺就不兼容。
Hisamoto等人在“A fully Depleted Lean-channel Transistor(DELTA)-A novel vertical ultra thin SOI MOSFET”,InternationalElectron Devices Meeting 1989,Paper 34.5.1,pp.833-6中揭示了隔离体晶片上的器件的方法。这种方法要求在鳍上生成氮化物隔离层,使得鳍在下层衬底氧化以形成隔离区的过程中受到保护。因此,相对于鳍,有选择地使衬底氧化。这个工艺的缺点是氧化温度高达1100℃,而且不能在生成绝缘层的同时修整鳍的厚度。随着器件继续按比例缩小,它们的耐高温能力减小,这样,Hisamoto等人提出的工艺与FinFET要用的毫微级技术不可兼容。而且,不能修整鳍的厚度意味着这些器件的关键尺寸仅仅由光刻(lithography)决定。如下面要详细说明的那样,本发明的方法的特征是它提供了通过氧化修整鳍的能力,以使得能够以超过光刻所能达到的效果来优化鳍的厚度。
此外,Hisamoto的工艺没有提供控制鳍高度的方法。体晶片没有诸如由掩埋在SOI晶片内的氧化层提供的能终止蚀刻鳍的层。没有这个蚀刻终止层,蚀刻深度的不一致就会转化为鳍的高度的不一致。由于器件所传导的电流的量与鳍的高度成正比,因此尽量减小鳍高度的不一致是很重要的。
因此,有必要开发一种有利于用体硅形成finFET器件、同时又尽量减小器件的不一致和提供充分的器件隔离的改进的制造方法和结构。
发明内容
因此,本发明提供了一种可以克服现有技术的许多缺点的形成鳍状场效应晶体管(FET)的器件结构和方法。具体地说,这种器件结构和方法能用体半导体晶片形成finFET器件,同时改善了器件的一致性。
在第一方面,发明提供了一种在半导体衬底上形成一个finFET的方法,这种方法包括下列步骤:在半导体衬底上形成一个鳍;以及使衬底受到在隔离鳍的同时进一步限定鳍的宽度的工艺的处理。
本发明还提供了一种在半导体衬底(200)中形成一个鳍状场效应晶体管的方法,所述方法包括下列步骤:在半导体衬底(200)上形成(102,104,106,108)一个鳍(210);损伤(110)与鳍(210)相邻的半导体衬底区域的至少一部分(212);以及在半导体衬底上生长绝缘体,使得绝缘体在半导体衬底的受损部分(214)内形成得比在鳍侧壁(216)上厚。
在第二方面,本发明提供了一种在半导体衬底上形成finFET的方法,这种方法包括下列步骤:在半导体衬底上形成一个鳍,这个鳍包括一个鳍侧壁(fin sidewall),鳍的形成暴露了与鳍相邻的半导体衬底区域;损伤与鳍相邻的半导体衬底区域的至少一部分;以及对半导体衬底进行氧化,使得氧化物在半导体衬底的受损部分内形成得比在鳍侧壁上厚。
从以下对如附图所例示的本发明的优选实施例的更为具体的说明中可以清楚地看到本发明的以上所述及其他的优点和特征。
附图说明
以下将结合附图说明本发明的一个优选的示范性实施例,在这些附图中同样的标记所标示的是同样的部分,其中:
图1为例示本发明所提出的制造方法的流程图;以及
图2-7分别为本发明的半导体结构的一个实施例在图1所示的制造方法期间的各个切剖侧视图。
具体实施方式
因此,本发明提供了一种可以克服现有技术的许多缺点的形成鳍状场效应晶体管(FET)的器件结构和方法。具体地说,这种器件结构和方法能从体半导体晶片形成finFET器件,同时改善了器件的一致性。这种方法有利于从体半导体晶片形成finFET器件,而且改善了对鳍高度的控制。此外,这种方法能从体半导体形成finFET,同时提供各个鳍之间和各个finFET的源区与漏区之间的隔离。最后,这种方法还可以提供对鳍宽度的优化。本发明的器件结构和方法因此具有可在体晶片上制造一致的finFET的优点。
本发明的一个实施例在形成鳍图案前使用了一种工艺,以提供改进的鳍高度。这种工艺可以包括将一种损伤衬底的重离子注入到所需深度,从而相对于未受损衬底的蚀刻率改变受损衬底的蚀刻率。这允许在形成鳍图案期间通过尽量减小蚀刻率不一致的影响来提供改进的高度控制。另一种工艺可以包括在所需深度注入或形成一个标志层。在鳍蚀刻期间,监视标志层的元素,以精确确定什么时候蚀刻到所希望的深度。这两种工艺控制技术因此改善了鳍高度的一致性,使得能够从体半导体晶片形成鳍,同时各晶片间鳍的不一致性最小。
本发明的第二方面是形成相邻鳍之间和各finFET的源区与漏区之间的隔离。此外,这种工艺优化了鳍本身的宽度。这种工艺首先有选择地损伤鳍之间的半导体晶片。这种有选择的损伤可以通过在各鳍之间注入适当的重离子或通过注入一种p型物质并经一个有选择的阳极反应来实现。这些方法损伤所暴露的接近鳍处的半导体晶片,但是通过鳍顶上有保护性的硬掩模层使对鳍本身的损伤最小化。然后,对晶片进行氧化。这导致在鳍的侧壁上和鳍之间的区域内形成氧化。晶片的受损区域氧化得比未受损区域快,因此导致鳍之间的氧化物比鳍本身上的厚。这种氧化率的差异使鳍之间得到充分的氧化物而没有使鳍过分变窄。
这种工艺在鳍之间产生充分的氧化,从而提供了鳍之间和鳍本身的源区与漏区之间的隔离。此外,在鳍上形成氧化物使鳍本身变窄。在从鳍的侧壁除去氧化物时,使鳍的宽度在原始宽度的基础上进一步得到优化,而在鳍之间剩下足以提供隔离的氧化物。因此,这种工艺隔离了鳍并同时优化了鳍的宽度。
因此,所提供的方法有利于从体硅形成finFET器件,同时增大了对鳍的高度和宽度的控制,也增大了对鳍的源区与漏区的隔离。
本发明可以很容易适应各种迄今为止主要是在SOI衬底上制造的finFET及其有关器件。例如,这些方法可用来形成如在美国专利No.6,252,284中所揭示的双栅极finFET。因此,本技术领域的人员可以理解,本发明并不局限于附图中所例示的具体结构或在这里所详细说明的具体步骤。还可以理解,本发明不局限于使用任何具体的掺杂物类型,只要为各个组成部分选择的掺杂物类型与器件的预定电作用一致。
现在来看图1,图中例示了按照本发明设计的形成finFET的典型方法100。这种制造方法100允许从体半导体晶片形成finFEET,同时具有改进的晶片间一致性和充分的器件隔离。因此,方法100具有可以用效益较高的制造工艺生产finFET的优点。下面将结合图2-7所示的一个晶片部分在加工期间的各个情况对方法100进行详细说明。
图1的第一个步骤101是提供一个适当的体半导体晶片。方法100的下一个步骤102是淀积一个适当的硬掩模阻挡层(hardmaskblocking layer),然后再淀积一个适当的硬掩模盖顶层(hardmask caplayer)。硬掩模阻挡层和硬掩模盖顶层都可以含有任何适当的材料,具有任何适当的厚度。例如,硬掩模阻挡层可以包括40-100nm厚的二氧化硅,而硬掩模盖顶层可以包括5-50nm厚的氮化硅。如以下可看到的那样,硬掩模盖顶层和硬掩模阻挡层被用来使在下面的半导体衬底形成图案和在形成隔离期间保护鳍。
现在来看图2,图中例示了一个示范性的晶片部分200,它包括一个硬掩模阻挡层204和一个硬掩模盖顶层202。同样,晶片部分200可以包括任何适当的体半导体晶片,诸如硅<100>晶片之类。硬掩模阻挡层204和硬掩模盖顶层202也可以包括任何适当的硬掩模材料,诸如分别为二氧化硅和氮化硅之类。
再来看图1,下一个步骤104是加工硬掩模阻挡层和硬掩模盖顶层,以形成鳍的图案。这可以用任何适当的工艺进行,通常包括淀积适当的光刻胶(photoresist)和并对其形成图案。于是可以有选择地对经显像的光刻胶用反应离子蚀刻(RIE)使硬掩模阻挡层和硬掩模盖顶层形成图案。形成图案的硬掩模层于是用来在硅RIE期间使在下面的半导体衬底形成图案,限定将用来形成finFET器件的鳍。因而,形成图案的长度和宽度由对于具体应用所需的鳍的尺寸确定。
现在来看图3,图中例示了晶片部分200在硬掩模阻挡层204和硬掩模盖顶层202已经形成图案后的情况。
再回到图1,下一个步骤106是在半导体晶片内形成一个高度控制层。然后,下一个步骤108是利用高度控制层控制鳍高度来使半导体晶片形成鳍的图案。有若干不同类型的高度控制层可以采用。例如,这层可以包括将一种损伤衬底的重离子注入到所需深度,从而相对于未受损衬底的蚀刻率改变受损衬底的蚀刻率。这允许在形成鳍图案期间通过尽量减小蚀刻率不一致的影响来改善高度控制。在另一个备选方案中,高度控制层可以包括在所需深度形成一个标志层(markerlayer)。在形成鳍图案期间,对标志层的元素进行监视,以精确地检测什么时候达到了所希望的鳍高度。这两种技术因此提供了得到改善的鳍高度控制,使得可以从体半导体晶片可靠地形成鳍。
在高度控制层包括损伤衬底的离子注入时,可以用任何适当的充分损伤半导体衬底的暴露部分以相对于未受损衬底的蚀刻率改变受损衬底的蚀刻率的离子。例如,可用As离子注入来损伤半导体晶片。其他适当的离子包括锗、铯、锑或其他重离子。选择注入的能量,以使损伤能够达到所希望的鳍的深度。例如,为了形成800埃左右的鳍高度,可以用140keV、剂量为1×1016/cm2的As注入。利用适当的蚀刻,可以使受损部分蚀刻得比未受损部分快,并且采用定时的蚀刻更可能产生一致的蚀刻深度。也就是说,未受损部分蚀刻得比受损部分慢,而用定时蚀刻可以大大减少未受损区的过蚀刻。因此,这种离子注入改善了对所得到的鳍的高度的控制。
在高度控制层包括一个标志层时,在蚀刻加工期间检测到标志离子表明已经蚀刻到所希望的深度。标志层可以包括任何适当的物质,诸如氧、氢或锗。标志层可以通过将标志物质(诸如Ge)注入衬底形成。或者,可以通过在衬底上淀积上标志物质,并在所淀积的标志层上形成一个附加的半导体衬底层来形成所述标志层。于是可以从标志层顶上的层蚀刻出鳍。在蚀刻半导体衬底层的同时对标志物质进行监视,以限定鳍的高度。在检测到标志物质时停止蚀刻,因为存在标志物质表明已经蚀刻到所希望的深度。出现标志层物质有助于确定什么时候应该停止蚀刻加工,因此可以产生一致的蚀刻深度。例如,标志层可以是一个厚为800至1000埃、锗浓度为25%至50%的SiGe层。
这两种技术因此提供了改进的鳍高度控制,从而可以从体半导体晶片可靠地形成高度一致的鳍。在所有这些实施例中,将蚀刻的化学作用选择成与高度控制层的类型兼容并且对用来限定鳍图案的硬掩模盖顶层是有选择的。
现在来看图4,图中例示了晶片部分200在形成高度控制层和使半导体衬底形成鳍210的图案后的情况。同样,由于有了高度控制层,因此各晶片间的鳍高度的一致性得到了改善。
有时候希望此时除去盖顶层202。这可以用任何适当技术来实现,诸如对下层硬掩模和暴露的硅是可以选择的湿蚀刻或干蚀刻。因此,留下了在下面的硬掩模阻挡层204,用来在以后的加工中保护鳍。在其他情况下,盖顶层202可以留在原处,以在以后的加工期间进一步保护鳍。
下一个步骤110是损伤鳍之间的衬底。如可以看到的那样,损伤衬底意味着相对于鳍本身的氧化率提高鳍之间的衬底的氧化率。损伤衬底的一种方法是将适当的元素注入鳍之间的衬底。这种注入适当地与衬底表面垂直进行,因此阻挡的硬掩模层防止注入直接损伤鳍,但这可能会产生一些蔓延。可用任何适当的注入来损伤衬底,虽然通常是优先选择能很好损伤衬底从而提高衬底氧化率的重离子。因此,注入As(剂量大约在1×1016/cm2到1×1017/cm2之间,能量大约为40-60keV)是一种适当的选择。其他适当的注入物质包括铯、氧和锗。
有选择地损伤半导体衬底的另一种方法是通过对p型注入物有选择的阳极反应。在这种工艺中,将p型离子注入鳍之间的半导体衬底。p型注入物可以包括任何适当的物质,诸如硼之类。同样,硬掩模阻挡层防止p型注入直接损伤鳍。在p型注入后,将衬底退火。然后,使注入区受到诸如HF/酒精之类的的化学蚀刻剂的作用,出现阳极反应。这就损伤了注入区。具体地说,阳极反应使注入区成为多孔的。损伤量可以由p型注入的密度和能量、HF浓度和HF/酒精混合物及反应电流密度和时间控制。受损区同样具有提高了的氧化率,因此导致衬底与鳍之间氧化物厚度的差异。
现在来看图5,图中例示了晶片部分200在硬掩模盖顶层202除去后执行了损伤加工从而形成衬底的受损部分212的情况。由于鳍受到剩下的硬掩模阻挡层204的保护,而且注入基本上是垂直的,因此半导体衬底的受损部分212将集中在鳍之间的区域。
再来看图1,下一个步骤112是对晶片的受损区进行氧化。这可以用任何适当的氧化工艺来实现。如上所述,晶片的受损区以比未受损区高许多的速率氧化。因此,在鳍之间的区域内比在鳍本身上将更迅速和更深入地形成氧化物。在优选的以800℃氧化40分钟的状况下,两种氧化率之比大约为5∶1。这在鳍之间产生厚度足以使鳍相互隔离、但不会将鳍完全氧化掉的氧化物。此外,氧化层生长到鳍下可以进一步地使鳍隔离。具体地说,氧化层生长到鳍下将导致改善晶体管本身的源极与漏极之间的隔离。没有这种隔离,电流可能会在源极和漏极之间的鳍下流动,因为这个区域不是完全可以由晶体管的栅极控制的。应指出的是,在鳍下生长的氧化物不一定要完全延伸到鳍下,来提供晶体管的源极与漏极之间的足够隔离,虽然有时候这是所希望的。
这个步骤的另一方面是,在鳍的侧壁上生长氧化物可以使鳍内剩下的半导体材料的宽度变窄。鳍变窄由于改善了栅极提供的电流控制从而改善了晶体管的性能。应指出的是,在很多情况下希望鳍可以比用传统的光刻技术能准确形成图案的情况还要窄。因此,在很多情况下所希望的是用诸如侧壁图像传送之类的图像增强技术来限定鳍的宽度。因此,本发明的这些实施例具有在形成鳍之间的隔离期间进一步使鳍的宽度变窄而不会完全氧化掉鳍的附加优点。
现在来看图6,图中例示了晶片部分200在氧化形成了鳍210之间的隔离214后的情况。此外,氧化还在鳍210的侧壁上形成了氧化物216。由于鳍之间的衬底区域在氧化前受到损伤,鳍之间的氧化物生长率比在诸如鳍的侧壁之类的其他区域的氧化物生长率高许多。此外,在鳍210上形成氧化物216可以进一步使鳍的宽度变窄。
再来看图1,下一个步骤114是除去无用的氧化物和完成finFET器件。由于在鳍的侧壁上形成的氧化物比在鳍之间形成的氧化物薄许多,因此能从侧壁上除去,而在鳍之间留下足够的隔离氧化物。此外,在鳍的侧壁上形成氧化物可以进一步使鳍本身的宽度变窄。
随着鳍的限定和隔离的形成,于是可以完成finFET。如上所述,所揭示的这些方法可以用于任何类型的finFET制造工艺。下面将简要地说明一个示范性的工艺,但熟悉该技术领域的人员可以理解,也可以采用其他适当的工艺。
这个示范性的工艺的第一个步骤是对鳍掺杂。通常,这可以包括对鳍进行离子注入,以便形成P阱结构和N阱结构。在本发明的CMOS技术中,形成P阱结构和N阱结构,以使NFET和PFET可以集成在一个共同的衬底上。P、As和Sb例如很适合于PFET阱。B、In和Ga例如很适合于NFET阱。离子注入通常设计成能达到浓度例如在1×1017cm-3到5×1018cm-3之间。在一个实施例中,离子注入可以包括倾斜注入半导体层的所暴露的对置垂直侧壁,用来正确地对鳍掺杂。
下一个步骤是形成栅极堆叠。这可以包括在鳍的对置的垂直侧壁和对置的端壁上形成栅极绝缘层。栅极绝缘层可以通过通常以750-800℃的热氧化形成,或者也可以通过淀积一层电介质膜形成。例如,栅极绝缘层可以是SiO2、氮化氧化物材料、高K电介质材料或这些的组合,如在该技术领域所知的那样。
下一个步骤是形成一个覆盖栅极绝缘层的栅极导体层。栅极导体层可以是任何适当的导电材料,通常是多晶硅材料,虽然也可以是非晶态硅、非晶态硅和多晶硅的组合、多晶硅-锗,或者任何可用来形成栅极导体层的任何其他适当的材料。此外,在本发明的一些实施例中,使用诸如W、Mo或Ta或任何其他高熔点金属的金属栅极导体层,或者包括加有Ni或Co的多晶硅的硅化物栅极导体可能是有益的。在栅极导体层是硅材料时,可以淀积为一个掺杂层(在原处掺杂)。如果栅极导体层是一个金属层,这样的层可以用物理或化学气相淀积方法或者任何在该技术领域内已知的其他技术淀积。这样,就形成了与由半导体层部分形成的鳍的对置垂直侧壁上形成的氧化层相邻的栅极结构。
下一个步骤是使栅极导体层和栅极绝缘层形成图案。这通常通过淀积一个硬掩模膜并使硬掩模膜形成图案来实现的。通常,硬掩模膜材料可以是SiO2或Si3N4。因此,栅极导体层可以用众所周知的形成栅极叠层的光刻技术(photolithography)和蚀刻技术来形成其图案和结构,即在对栅极导体层进行定向蚀刻期间用硬掩模膜顶盖作为蚀刻掩模。这包括有选择地除去栅极导体层直到隔离层的部分,但不除去半导体层的形成鳍的由硬掩模膜保护的部分。因此,鳍可以延伸到超出栅极叠层。这样形成图案和结构还留下栅极导体层中限定与鳍主体相邻的栅极结构的部分。
下一个步骤是用源极/漏极(S/D)注入物对鳍的暴露部分掺杂。形成源区/漏区可以用任何已经为形成源区/漏区所开发的适应具体性能要求的方法实现。有许多这样的方法可用来形成具有不同复杂程度的源区/漏区。因此,在本发明的一些实施例中,例如用离子注入可以形成轻掺杂的源区/漏区或其他的源区/漏区。因此,对于NFET来说,通常用例如P、As或Sb进行能量为1至5keV、剂量为5×1014至2×1015cm-3的源区/漏区注入。类似,对于PFET来说,通常用例如B、In或Ga进行能量为0.5至3keV、剂量为5×1014至2×1015cm-3的源区/漏区注入。
可选地,可以形成延伸和晕式(halo)注入,它们可以改善短沟道效应(SCE)。对于NFET来说,通常可以用B、In或Ga进行能量为5至15keV和剂量为1×1013至8×1013cm-3的晕式注入。类似,对于PEET来说,可以用P、As或Sb进行能量为20至45keV剂量为1×1013至8×1013cm-3的晕式注入。
为了完成器件,然后可以形成对源极、漏极和栅极的触点。因此,通常可以用CMP工艺淀积电介质,再予以平整。然后可以用各向异性工艺(例如RIE)之类构成和蚀刻出触点孔。可以用诸如掺杂的多晶硅、硅化物(例如WSi)、金属(例如Au、Al、Mo、W、Ta、Ti、Cu或ITO(铟氧化锡))之类的任何导电材料,通过蒸发、溅射或其他已知技术进行淀积,来填充这些触点孔,从而形成S/D接触。然后可以用RIE工艺之类淀积和构成第一金属层。或者,也可以在镶嵌工艺流程后完成构成第一金属层。
现在来看图7,图中例示了在晶片部分200上完成的finFET器件。本发明的一个实施例示为具有最小的复杂性。在鳍210的每个侧面和鳍的对置端壁上形成栅极绝缘层220。栅极222形成为覆盖了栅极绝缘层220和硬掩模膜224。此外,在这个具体实施例中,栅极导体层222部分跨鳍的两侧是连续的,但是在其他实施例中,栅极导体层被分成两个部分。
按照本发明设计的在同一个衬底上利用对于FET电流沟道的不同晶面的CMOS FinFET可以用于许多不同类型的电路,诸如高性能逻辑电路、低功率逻辑电路或高密度存储器器件,包括高密度十亿比特级DRAM。而且,CMOS FinFET可以很容易与诸如电容、电阻、二极管、存储器单元之类的其他元件结合在一起。
因此,本发明提供了一种可以克服现有技术的许多缺点的形成鳍状场效应晶体管(FET)的器件结构和方法。具体地说,这种方法有利于从体半导体晶片以鳍高度控制得到改善的方式形成finFET器件。此外,这种方法能从体硅片形成finFET,同时提供各个鳍之间和各个finFET的源区与漏区之间的隔离。本发明的器件结构和方法因此具有可以用高效益的体晶片可靠和一致地制造finFET的优点。在这里所提出的实施例和例子在于较好地说明本发明及其实际应用,使一般熟悉该技术领域的人员可以采用本发明。然而,一般熟悉该技术领域的人员可以理解,上述说明和例子只是例示性的。本说明并不是穷举性的或者要将本发明限制在精确为所揭示的形式。根据以上原理许多修改和变动都是可行的,并不背离以下权利要求所给出的本发明的精神实质和专利保护范围。因此,除非另有说明,在附图中或在这里所示出的任何组成部分都是作为可能的组成部分的一个例子而不是作为一个限制。类似,除非另有说明,在这里所指出的本发明的方法的任何步骤或顺序都是作为可能的步骤或顺序的例子而不是作为限制给出的。
工业实用性
本发明的鳍状场效应晶体管(finFET)对于集成电路设计和制造是有用的,并且对于衬底包括体硅片的互补金属氧化物半导体(CMOS)技术特别有用。

Claims (19)

1.一种在半导体衬底(200)中形成一个鳍状场效应晶体管的方法,所述方法包括下列步骤:
在半导体衬底(200)上形成(102,104,106,108)一个鳍(210);
损伤(110)与鳍(210)相邻的半导体衬底区域的至少一部分(212);以及
在半导体衬底上生长绝缘体,使得绝缘体在半导体衬底的受损部分(214)内形成得比在鳍侧壁(216)上厚。
2.权利要求1的方法,其中在半导体衬底上生长绝缘体的步骤包括:
对半导体衬底进行氧化(112),使得氧化物在半导体衬底的受损部分(214)内形成得比在鳍侧壁(216)上厚。
3.权利要求2的方法,其中所述损伤(110)与鳍(210)相邻的半导体衬底的至少一部分(212)的步骤包括对与鳍(210)相邻的半导体衬底的至少一部分执行离子注入。
4.权利要求3的方法,其中所述离子注入包括与鳍(210)平行地执行注入,以尽量减小对鳍的侧壁的损伤。
5.权利要求3的方法,所述方法还包括在鳍(210)的顶上提供(102)一个阻挡层(204)以减少对鳍(210)的损伤的步骤。
6.权利要求2的方法,其中所述损伤(110)与鳍(210)相邻的半导体衬底(200)的至少一部分(212)的步骤包括,执行增大与鳍(210)相邻的半导体衬底的至少一部分的多孔性的阳极反应。
7.权利要求6的方法,其中所述执行增大与鳍(210)相邻的半导体衬底的至少一部分的多孔性的阳极反应的步骤包括,对与鳍(210)相邻的半导体衬底执行p型注入、对半导体衬底(200)退火和使半导体衬底的至少一部分受到化学蚀刻剂的作用。
8.权利要求1的方法,其中所述在半导体衬底(200)上形成(108)鳍(210)的步骤包括下列步骤:
在半导体衬底(200)中形成(106)一个高度控制层;以及
对半导体衬底进行蚀刻(108)以限定鳍(210),使得高度控制层有利于鳍高度的一致性。
9.权利要求8的方法,其中所述在半导体衬底(200)中形成(106)一个高度控制层的步骤包括对半导体衬底执行离子注入,所述离子注入将损伤衬底,以相对于衬底(200)的未受损部分的蚀刻率改变衬底的受损部分(212)的蚀刻率。
10.权利要求8的方法,其中所述在半导体衬底(200)中形成(106)一个高度控制层的步骤包括,在半导体衬底内形成一个标志层,并且其中所述对半导体衬底进行蚀刻(108)以限定鳍使得高度控制层有利于鳍高度的一致性的步骤包括,在对半导体衬底(200)进行蚀刻(108)期间对标志层进行监视。
11.权利要求1的方法,其中所述使衬底受到在隔离鳍(210)的同时进一步限定鳍(210)的宽度的工艺(110,112,114)处理的步骤使鳍的宽度变窄到小于在半导体衬底(200)上形成(102,104,106,108)鳍(210)的步骤中所用的工艺的最小特征尺寸。
12.一种在半导体衬底(200)中形成一个鳍状场效应晶体管的方法,所述方法包括下列步骤:
在半导体衬底(200)上形成(102,104,106,108)一个鳍(210),鳍(210)包括一个鳍侧壁,鳍的形成暴露了与鳍(210)相邻的半导体衬底(200)的区域;
损伤(110)与鳍(210)相邻的半导体衬底区域的至少一部分(212);以及
对半导体衬底进行氧化(112),使得氧化物在半导体衬底的受损部分(214)内形成得比在鳍侧壁(216)上厚。
13.权利要求12的方法,其中所述损伤(110)与鳍(212)相邻的半导体衬底(200)的至少一部分(212)的步骤包括对与鳍(210)相邻的半导体衬底的至少一部分(212)执行离子注入。
14.权利要求12的方法,其中所述损伤(110)与鳍(212)相邻的半导体衬底(200)的至少一部分(212)的步骤包括执行增大与鳍(210)相邻的半导体衬底的至少一部分的多孔性的阳极反应。
15.权利要求14的方法,其中所述执行增大与鳍(210)相邻的半导体衬底的至少一部分的多孔性的阳极反应的步骤包括,对与鳍(210)相邻的半导体衬底执行p型注入、对半导体衬底(200)退火和使半导体衬底的至少一部分受到化学蚀刻剂的作用。
16.权利要求12的方法,其中所述在半导体衬底(200)上形成(102,104,106,108)鳍(210)的步骤包括下列步骤:
在半导体衬底(200)中形成(106)一个高度控制层;以及
对半导体衬底进行蚀刻(108)以限定鳍(210),使得高度控制层有利于鳍高度的一致性。
17.权利要求16的方法,其中所述在半导体衬底(200)中形成(106)一个高度控制层的步骤包括,对半导体衬底执行离子注入,所述离子注入将损伤衬底,以相对于衬底(200)的未受损部分的蚀刻率改变衬底的受损部分(212)的蚀刻率。
18.权利要求16的方法,其中所述在半导体衬底(200)中形成(106)一个高度控制层的步骤包括,在半导体衬底内形成一个标志层,并且其中所述对半导体衬底进行蚀刻(210)以限定鳍(210)使得高度控制层有利于鳍高度的一致性的步骤包括,在对半导体衬底(200)进行蚀刻(210)期间对标志层进行监视。
19.一种在体半导体衬底(200)上形成鳍状场效应晶体管器件的方法,所述方法包括下列步骤:
在半导体衬底(200)中形成(106)一个高度控制层;
在半导体衬底(200)上形成(104)一个硬掩模阻挡层(204);
对硬掩模阻挡层和半导体衬底进行蚀刻(108)以限定多个鳍(210),使得高度控制层有利于鳍高度的一致性,其中一部分硬掩模阻挡层(204)留在多个鳍(210)中的每个鳍上,并且多个鳍(210)中的每个鳍包括一个侧壁,而对半导体衬底的蚀刻(108)暴露了与鳍(210)相邻的半导体衬底的区域;
损伤(110)与鳍(210)相邻的半导体衬底区域的至少一部分(212);
氧化(112)半导体衬底,使得氧化物在半导体衬底的受损部分(214)内形成得比在鳍侧壁(216)上厚;以及
从鳍侧壁上除去(114)氧化物(216),同时留下与鳍(210)相邻的至少一部分氧化物(214)。
CNB038111691A 2002-06-03 2003-06-03 体半导体的鳍状fet器件及其形成方法 Expired - Lifetime CN1296991C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/063,994 US6642090B1 (en) 2002-06-03 2002-06-03 Fin FET devices from bulk semiconductor and method for forming
US10/063,994 2002-06-03

Publications (2)

Publication Number Publication Date
CN1653608A CN1653608A (zh) 2005-08-10
CN1296991C true CN1296991C (zh) 2007-01-24

Family

ID=29268594

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038111691A Expired - Lifetime CN1296991C (zh) 2002-06-03 2003-06-03 体半导体的鳍状fet器件及其形成方法

Country Status (11)

Country Link
US (1) US6642090B1 (zh)
EP (1) EP1532659B1 (zh)
JP (1) JP4425130B2 (zh)
KR (1) KR100702553B1 (zh)
CN (1) CN1296991C (zh)
AT (1) ATE500610T1 (zh)
AU (1) AU2003237320A1 (zh)
DE (1) DE60336237D1 (zh)
IL (1) IL165546A0 (zh)
TW (1) TWI235457B (zh)
WO (1) WO2003103019A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187261A (zh) * 2011-12-29 2013-07-03 台湾积体电路制造股份有限公司 实现单鳍鳍式场效应晶体管器件的芯更改
CN105092324A (zh) * 2014-05-07 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种FinFET鳍片掺杂浓度分布的测量方法和测量样品制备方法

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6815268B1 (en) * 2002-11-22 2004-11-09 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US7087499B2 (en) * 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6787854B1 (en) * 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US6756643B1 (en) 2003-06-12 2004-06-29 Advanced Micro Devices, Inc. Dual silicon layer for chemical mechanical polishing planarization
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
KR100521382B1 (ko) * 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
US6812119B1 (en) * 2003-07-08 2004-11-02 Advanced Micro Devices, Inc. Narrow fins by oxidation in double-gate finfet
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6787476B1 (en) * 2003-08-04 2004-09-07 Advanced Micro Devices, Inc. Etch stop layer for etching FinFET gate over a large topography
US7714384B2 (en) * 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
KR100555518B1 (ko) * 2003-09-16 2006-03-03 삼성전자주식회사 이중 게이트 전계 효과 트랜지스터 및 그 제조방법
US6970373B2 (en) * 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
JP3863516B2 (ja) * 2003-10-03 2006-12-27 株式会社東芝 半導体装置及びその製造方法
DE10348007B4 (de) * 2003-10-15 2008-04-17 Infineon Technologies Ag Verfahren zum Strukturieren und Feldeffekttransistoren
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US7091566B2 (en) * 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100518602B1 (ko) * 2003-12-03 2005-10-04 삼성전자주식회사 돌출된 형태의 채널을 갖는 모스 트랜지스터 및 그 제조방법
US6967175B1 (en) * 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
KR100513405B1 (ko) * 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
US7186599B2 (en) * 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
KR100526887B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 전계효과 트랜지스터 및 그의 제조방법
KR100526889B1 (ko) * 2004-02-10 2005-11-09 삼성전자주식회사 핀 트랜지스터 구조
KR100610496B1 (ko) * 2004-02-13 2006-08-09 삼성전자주식회사 채널용 핀 구조를 가지는 전계효과 트랜지스터 소자 및 그제조방법
KR100585131B1 (ko) 2004-02-20 2006-06-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
EP1566844A3 (en) * 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
KR100577565B1 (ko) * 2004-02-23 2006-05-08 삼성전자주식회사 핀 전계효과 트랜지스터의 제조방법
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
KR100532204B1 (ko) * 2004-03-04 2005-11-29 삼성전자주식회사 핀형 트랜지스터 및 이의 제조 방법
KR100584776B1 (ko) * 2004-03-05 2006-05-29 삼성전자주식회사 반도체 장치의 액티브 구조물 형성 방법, 소자 분리 방법및 트랜지스터 형성 방법
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
US7701018B2 (en) * 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7262084B2 (en) 2004-04-15 2007-08-28 International Business Machines Corporation Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses
US7084018B1 (en) * 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
KR20050108916A (ko) * 2004-05-14 2005-11-17 삼성전자주식회사 다마신 공정을 이용한 핀 전계 효과 트랜지스터의 형성 방법
KR100618827B1 (ko) * 2004-05-17 2006-09-08 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
DE102005022306B4 (de) * 2004-05-17 2009-12-31 Samsung Electronics Co., Ltd., Suwon Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET)
KR100625175B1 (ko) * 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
JP4675585B2 (ja) * 2004-06-22 2011-04-27 シャープ株式会社 電界効果トランジスタ
US6960509B1 (en) * 2004-06-30 2005-11-01 Freescale Semiconductor, Inc. Method of fabricating three dimensional gate structure using oxygen diffusion
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
KR100545863B1 (ko) * 2004-07-30 2006-01-24 삼성전자주식회사 핀 구조물을 갖는 반도체 장치 및 이를 제조하는 방법
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7253493B2 (en) * 2004-08-24 2007-08-07 Micron Technology, Inc. High density access transistor having increased channel width and methods of fabricating such devices
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US6947275B1 (en) 2004-10-18 2005-09-20 International Business Machines Corporation Fin capacitor
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
TWI277210B (en) * 2004-10-26 2007-03-21 Nanya Technology Corp FinFET transistor process
KR100672826B1 (ko) * 2004-12-03 2007-01-22 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
KR100614800B1 (ko) * 2004-12-10 2006-08-22 삼성전자주식회사 복수개의 돌출된 채널을 갖는 트랜지스터의 제조 방법
WO2006070310A1 (en) * 2004-12-28 2006-07-06 Koninklijke Philips Electronics N.V. Method for the manufacture of a semiconductor device and a semiconductor device obtained through it
US7183142B2 (en) * 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
DE102005007822B4 (de) * 2005-02-21 2014-05-22 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Tunnel-Feldeffekttransistor
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20060214233A1 (en) * 2005-03-22 2006-09-28 Ananthanarayanan Hari P FinFET semiconductor device
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7268397B2 (en) * 2005-06-21 2007-09-11 International Business Machines Corporation Thermal dissipation structures for finfets
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
KR100728957B1 (ko) * 2005-06-30 2007-06-15 주식회사 하이닉스반도체 돌기형 트랜지스터 제조방법
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7381649B2 (en) 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7268379B2 (en) * 2005-09-05 2007-09-11 Macronix International Co., Ltd Memory cell and method for manufacturing the same
US7381655B2 (en) * 2005-09-14 2008-06-03 International Business Machines Corporation Mandrel/trim alignment in SIT processing
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070102756A1 (en) * 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
US7547947B2 (en) * 2005-11-15 2009-06-16 International Business Machines Corporation SRAM cell
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7402856B2 (en) * 2005-12-09 2008-07-22 Intel Corporation Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same
US7439588B2 (en) * 2005-12-13 2008-10-21 Intel Corporation Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate
KR100763330B1 (ko) * 2005-12-14 2007-10-04 삼성전자주식회사 활성 핀들을 정의하는 소자분리 방법, 이를 이용하는반도체소자의 제조방법 및 이에 의해 제조된 반도체소자
US7512017B2 (en) * 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070148926A1 (en) * 2005-12-28 2007-06-28 Intel Corporation Dual halo implant for improving short channel effect in three-dimensional tri-gate transistors
US7410844B2 (en) * 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
KR20070090375A (ko) * 2006-03-02 2007-09-06 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
US7407847B2 (en) * 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
US7425500B2 (en) 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7291564B1 (en) * 2006-04-28 2007-11-06 Hewlett-Packard Development Company, L.P. Method and structure for facilitating etching
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
US7494933B2 (en) * 2006-06-16 2009-02-24 Synopsys, Inc. Method for achieving uniform etch depth using ion implantation and a timed etch
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7537994B2 (en) 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US7435683B2 (en) * 2006-09-15 2008-10-14 Intel Corporation Apparatus and method for selectively recessing spacers on multi-gate devices
US20080097346A1 (en) * 2006-09-19 2008-04-24 Alcon, Inc. Trocar cannula
US7700470B2 (en) 2006-09-22 2010-04-20 Intel Corporation Selective anisotropic wet etching of workfunction metal for semiconductor devices
KR100838378B1 (ko) * 2006-09-29 2008-06-13 주식회사 하이닉스반도체 핀트랜지스터의 제조 방법
KR100761354B1 (ko) * 2006-10-02 2007-09-27 주식회사 하이닉스반도체 다면채널을 갖는 반도체소자의 듀얼폴리게이트 및 그의형성 방법
US8772858B2 (en) 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7811890B2 (en) * 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US7851848B2 (en) * 2006-11-01 2010-12-14 Macronix International Co., Ltd. Cylindrical channel charge trapping devices with effectively high coupling ratios
US7939403B2 (en) * 2006-11-17 2011-05-10 Micron Technology, Inc. Methods of forming a field effect transistors, pluralities of field effect transistors, and DRAM circuitry comprising a plurality of individual memory cells
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
KR100861211B1 (ko) * 2007-04-12 2008-09-30 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US7838923B2 (en) * 2007-08-09 2010-11-23 Macronix International Co., Ltd. Lateral pocket implant charge trapping devices
US20090057846A1 (en) * 2007-08-30 2009-03-05 Doyle Brian S Method to fabricate adjacent silicon fins of differing heights
WO2009044236A1 (en) * 2007-10-03 2009-04-09 Freescale Semiconductor, Inc. Method of forming an inverted t shaped channel structure for an inverted t channel field effect transistor device
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US20090256207A1 (en) * 2008-04-14 2009-10-15 International Business Machines Corporation Finfet devices from bulk semiconductor and methods for manufacturing the same
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP2012501545A (ja) * 2008-08-28 2012-01-19 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド 3次元マルチゲートmosfetの製造に有用であるバルクシリコンウェハー製品
US20100155801A1 (en) * 2008-12-22 2010-06-24 Doyle Brian S Integrated circuit, 1T-1C embedded memory cell containing same, and method of manufacturing 1T-1C memory cell for embedded memory application
US7999298B2 (en) * 2008-12-30 2011-08-16 Intel Corporation Embedded memory cell and method of manufacturing same
US8860124B2 (en) * 2009-01-15 2014-10-14 Macronix International Co., Ltd. Depletion-mode charge-trapping flash device
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8759943B2 (en) * 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8114721B2 (en) * 2009-12-15 2012-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thickness in forming FinFET devices
US8202780B2 (en) * 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
US8039326B2 (en) * 2009-08-20 2011-10-18 Globalfoundries Inc. Methods for fabricating bulk FinFET devices having deep trench isolation
US9257325B2 (en) * 2009-09-18 2016-02-09 GlobalFoundries, Inc. Semiconductor structures and methods for forming isolation between Fin structures of FinFET devices
US8101486B2 (en) * 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8227304B2 (en) * 2010-02-23 2012-07-24 International Business Machines Corporation Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer
US8420476B2 (en) * 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
CN102347349B (zh) * 2010-07-28 2014-07-23 中国科学院微电子研究所 半导体结构及其制作方法
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
CN102456734B (zh) * 2010-10-29 2015-06-10 中国科学院微电子研究所 半导体结构及其制作方法
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US9240350B2 (en) * 2011-05-16 2016-01-19 Varian Semiconductor Equipment Associates, Inc. Techniques for forming 3D structures
US20140193963A1 (en) * 2011-05-16 2014-07-10 Varian Semiconductor Equipment Associates, Inc. Techniques For Forming 3D Structures
US8597994B2 (en) 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
US8460984B2 (en) * 2011-06-09 2013-06-11 GlobalFoundries, Inc. FIN-FET device and method and integrated circuits using such
US8466028B2 (en) 2011-06-30 2013-06-18 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing multigate device
US8697522B2 (en) * 2011-07-05 2014-04-15 International Business Machines Corporation Bulk finFET with uniform height and bottom isolation
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
CN103000517B (zh) * 2011-09-09 2016-02-10 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9105661B2 (en) * 2011-11-03 2015-08-11 Taiwan Semconductor Manufacturing Company, Ltd. Fin field effect transistor gate oxide
CN104137265B (zh) * 2011-12-22 2017-11-17 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9117877B2 (en) * 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8946027B2 (en) 2012-02-07 2015-02-03 International Business Machines Corporation Replacement-gate FinFET structure and process
US8354320B1 (en) * 2012-02-09 2013-01-15 Globalfoundries Inc. Methods of controlling fin height of FinFET devices by performing a directional deposition process
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN102832133B (zh) 2012-08-29 2014-12-03 北京大学 在体硅上制备独立双栅FinFET的方法
US9093376B2 (en) 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET
US9263585B2 (en) * 2012-10-30 2016-02-16 Globalfoundries Inc. Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US8987790B2 (en) 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
US9059242B2 (en) 2012-11-27 2015-06-16 International Business Machines Corporation FinFET semiconductor device having increased gate height control
US8835262B2 (en) 2013-01-08 2014-09-16 Globalfoundries Inc. Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials
US9190419B2 (en) * 2013-02-07 2015-11-17 International Business Machines Corporation Diode structure and method for FINFET technologies
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US8900934B2 (en) 2013-04-18 2014-12-02 International Business Machines Corporation FinFET devices containing merged epitaxial Fin-containing contact regions
CN104183486A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种FinFET半导体器件的制备方法
US9087869B2 (en) 2013-05-23 2015-07-21 International Business Machines Corporation Bulk semiconductor fins with self-aligned shallow trench isolation structures
US20140374807A1 (en) * 2013-06-19 2014-12-25 International Business Machines Corporation METHOD OF DEVICE ISOLATION IN CLADDING Si THROUGH IN SITU DOPING
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
FR3009646A1 (zh) * 2013-08-06 2015-02-13 St Microelectronics Sa
FR3009647A1 (zh) * 2013-08-06 2015-02-13 St Microelectronics Sa
US8951850B1 (en) 2013-08-21 2015-02-10 International Business Machines Corporation FinFET formed over dielectric
WO2015045207A1 (ja) 2013-09-27 2015-04-02 パナソニック株式会社 半導体集積回路および半導体集積回路装置
US9224654B2 (en) 2013-11-25 2015-12-29 International Business Machines Corporation Fin capacitor employing sidewall image transfer
US9190466B2 (en) 2013-12-27 2015-11-17 International Business Machines Corporation Independent gate vertical FinFET structure
US9691763B2 (en) 2013-12-27 2017-06-27 International Business Machines Corporation Multi-gate FinFET semiconductor device with flexible design width
US9190328B2 (en) 2014-01-30 2015-11-17 International Business Machines Corporation Formation of fins having different heights in fin field effect transistors
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
US9985030B2 (en) 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
US9385123B2 (en) 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9312389B2 (en) * 2014-05-23 2016-04-12 Broadcom Corporation FinFET with undoped body bulk
KR102449437B1 (ko) * 2014-06-26 2022-09-30 인텔 코포레이션 도핑된 하위 핀 영역을 가진 오메가 핀을 갖는 비 평면 반도체 디바이스 및 이것을 제조하는 방법
US9263587B1 (en) * 2014-09-04 2016-02-16 Globalfoundries Inc. Fin device with blocking layer in channel region
US9583625B2 (en) 2014-10-24 2017-02-28 Globalfoundries Inc. Fin structures and multi-Vt scheme based on tapered fin and method to form
CN105633152B (zh) 2014-11-05 2019-12-10 联华电子股份有限公司 半导体结构及其制作方法
US9614057B2 (en) 2014-12-30 2017-04-04 International Business Machines Corporation Enriched, high mobility strained fin having bottom dielectric isolation
KR102274750B1 (ko) * 2015-01-27 2021-07-07 삼성전자주식회사 반도체 장치 제조 방법
US9590077B2 (en) 2015-05-14 2017-03-07 International Business Machines Corporation Local SOI fins with multiple heights
US9515089B1 (en) 2015-05-14 2016-12-06 International Business Machines Corporation Bulk fin formation with vertical fin sidewall profile
EP3314638A4 (en) * 2015-06-27 2019-05-29 Intel Corporation MULTI-HEIGHT FINFET DEVICE THROUGH SELECTIVE OXIDATION
US9299924B1 (en) 2015-06-29 2016-03-29 International Business Machines Corporation Injection pillar definition for line MRAM by a self-aligned sidewall transfer
US9425313B1 (en) * 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9362383B1 (en) 2015-09-17 2016-06-07 International Business Machines Corporation Highly scaled tunnel FET with tight pitch and method to fabricate same
US9508597B1 (en) * 2015-09-18 2016-11-29 Globalfoundries Inc. 3D fin tunneling field effect transistor
US9786563B2 (en) * 2015-11-23 2017-10-10 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US9627263B1 (en) 2015-11-30 2017-04-18 International Business Machines Corporation Stop layer through ion implantation for etch stop
US10466731B2 (en) 2016-01-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Two-transistor bandgap reference circuit and FinFET device suited for same
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
CN107591362B (zh) * 2016-07-06 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9824934B1 (en) 2016-09-30 2017-11-21 International Business Machines Corporation Shallow trench isolation recess process flow for vertical field effect transistor fabrication
CN107919284B (zh) * 2016-10-10 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10163914B2 (en) * 2017-03-08 2018-12-25 Globalfoundries Inc. Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails
JP6330942B2 (ja) * 2017-03-08 2018-05-30 インテル・コーポレーション ドープサブフィン領域があるオメガフィンを有する非プレーナ型半導体デバイスおよびそれを製造する方法
CN108305835A (zh) * 2018-03-19 2018-07-20 中国科学院微电子研究所 一种鳍式晶体管器件的制造方法
US10304744B1 (en) * 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
CN109003902B (zh) * 2018-08-01 2021-07-27 中国科学院微电子研究所 一种半导体结构及其制备方法
US11302814B2 (en) * 2020-01-23 2022-04-12 Nanya Technology Corp. Semiconductor device with porous dielectric structure and method for fabricating the same
US11244901B2 (en) * 2020-02-12 2022-02-08 Nanya Technology Corporation Semiconductor device with graded porous dielectric structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361600A (en) * 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4845048A (en) * 1986-06-12 1989-07-04 Matsushita Electric Industrial Co., Ltd. Method of fabricating semiconductor device
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4437226A (en) * 1981-03-02 1984-03-20 Rockwell International Corporation Process for producing NPN type lateral transistor with minimal substrate operation interference
US4580331A (en) * 1981-07-01 1986-04-08 Rockwell International Corporation PNP-type lateral transistor with minimal substrate operation interference and method for producing same
US4502913A (en) * 1982-06-30 1985-03-05 International Business Machines Corporation Total dielectric isolation for integrated circuits
FR2554638A1 (fr) * 1983-11-04 1985-05-10 Efcis Procede de fabrication de structures integrees de silicium sur ilots isoles du substrat
US4764799A (en) * 1985-05-28 1988-08-16 International Business Machines Corporation Stud-defined integrated circuit structure
US4648173A (en) * 1985-05-28 1987-03-10 International Business Machines Corporation Fabrication of stud-defined integrated circuit structure
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
US5595926A (en) * 1994-06-29 1997-01-21 Industrial Technology Research Institute Method for fabricating a DRAM trench capacitor with recessed pillar
US5675164A (en) * 1995-06-07 1997-10-07 International Business Machines Corporation High performance multi-mesa field effect transistor
JP3158973B2 (ja) * 1995-07-20 2001-04-23 富士電機株式会社 炭化けい素縦型fet
US5963789A (en) * 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US5691230A (en) * 1996-09-04 1997-11-25 Micron Technology, Inc. Technique for producing small islands of silicon on insulator
US6177699B1 (en) * 1998-03-19 2001-01-23 Lsi Logic Corporation DRAM cell having a verticle transistor and a capacitor formed on the sidewalls of a trench isolation
US6034417A (en) * 1998-05-08 2000-03-07 Micron Technology, Inc. Semiconductor structure having more usable substrate area and method for forming same
US6110793A (en) * 1998-06-24 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for making a trench isolation having a conformal liner oxide and top and bottom rounded corners for integrated circuits
JP3144387B2 (ja) * 1998-08-17 2001-03-12 日本電気株式会社 半導体装置の製造方法
EP1091413A3 (en) * 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
JP2002151688A (ja) * 2000-08-28 2002-05-24 Mitsubishi Electric Corp Mos型半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361600A (en) * 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4845048A (en) * 1986-06-12 1989-07-04 Matsushita Electric Industrial Co., Ltd. Method of fabricating semiconductor device
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187261A (zh) * 2011-12-29 2013-07-03 台湾积体电路制造股份有限公司 实现单鳍鳍式场效应晶体管器件的芯更改
CN103187261B (zh) * 2011-12-29 2015-11-25 台湾积体电路制造股份有限公司 实现单鳍鳍式场效应晶体管器件的芯更改
CN105092324A (zh) * 2014-05-07 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种FinFET鳍片掺杂浓度分布的测量方法和测量样品制备方法
CN105092324B (zh) * 2014-05-07 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种FinFET鳍片掺杂浓度分布的测量方法和测量样品制备方法

Also Published As

Publication number Publication date
ATE500610T1 (de) 2011-03-15
CN1653608A (zh) 2005-08-10
TWI235457B (en) 2005-07-01
AU2003237320A8 (en) 2003-12-19
KR100702553B1 (ko) 2007-04-04
DE60336237D1 (de) 2011-04-14
WO2003103019A2 (en) 2003-12-11
EP1532659A2 (en) 2005-05-25
AU2003237320A1 (en) 2003-12-19
JP4425130B2 (ja) 2010-03-03
WO2003103019A3 (en) 2004-03-18
EP1532659A4 (en) 2005-12-14
TW200411833A (en) 2004-07-01
US6642090B1 (en) 2003-11-04
IL165546A0 (en) 2006-01-15
JP2005528793A (ja) 2005-09-22
EP1532659B1 (en) 2011-03-02
KR20050003401A (ko) 2005-01-10

Similar Documents

Publication Publication Date Title
CN1296991C (zh) 体半导体的鳍状fet器件及其形成方法
US11133309B2 (en) Multi-threshold voltage gate-all-around transistors
US9230989B2 (en) Hybrid CMOS nanowire mesh device and FINFET device
CN100337334C (zh) 双栅极场效应晶体管及其制造方法
KR101718216B1 (ko) 적층형 게이트 올 어라운드 finfet 및 그 형성 방법
US8742511B2 (en) Double gate planar field effect transistors
JP5199230B2 (ja) 集積回路構造及びその製造方法
US7700446B2 (en) Virtual body-contacted trigate
CN1287433C (zh) 三栅极器件的加工方法
US9053981B2 (en) Hybrid CMOS nanowire mesh device and PDSOI device
US20100038679A1 (en) Finfet with longitudinal stress in a channel
CN1674239A (zh) 场效应晶体管及其制造方法
CN1967812A (zh) 具有准自对准源极/漏极FinFET的半导体器件及其形成方法
CN1577850A (zh) 有部分或全包围栅电极的非平面半导体器件及其制造方法
CN1645576A (zh) 在FinFET中形成翅片的后退法
TW201121051A (en) Integrated circuit structure
CN101189730A (zh) 具有增强迁移率的应变沟道的非平面体晶体管及制造方法
CN1643697A (zh) 应变翅片式场效应晶体管的结构和方法
KR20050112400A (ko) 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
JP2007518272A (ja) 歪みfinfetチャネルの製造方法
US10014406B2 (en) Semiconductor device and method of forming the same
CN1219329C (zh) 具有分离栅的自对准双栅金属氧化物半导体场效应晶体管
CN105826203A (zh) 形成FinFET晶体管器件的方法和FinFET晶体管器件
US20160111322A1 (en) Finfet semiconductor device having local buried oxide
US7118973B1 (en) Method of forming a transistor with a channel region in a layer of composite material

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171117

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171117

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CX01 Expiry of patent term

Granted publication date: 20070124

CX01 Expiry of patent term