CN1293265A - 利用含硝酸盐的前体淀积原子层 - Google Patents

利用含硝酸盐的前体淀积原子层 Download PDF

Info

Publication number
CN1293265A
CN1293265A CN00125992A CN00125992A CN1293265A CN 1293265 A CN1293265 A CN 1293265A CN 00125992 A CN00125992 A CN 00125992A CN 00125992 A CN00125992 A CN 00125992A CN 1293265 A CN1293265 A CN 1293265A
Authority
CN
China
Prior art keywords
precursor
metal
nitrate
gas
containing metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00125992A
Other languages
English (en)
Other versions
CN1260403C (zh
Inventor
斯蒂芬·M·盖茨
德伯拉·A·纽梅尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1293265A publication Critical patent/CN1293265A/zh
Application granted granted Critical
Publication of CN1260403C publication Critical patent/CN1260403C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/60Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape characterised by shape
    • C30B29/68Crystals with laminate structure, e.g. "superlattices"
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations

Abstract

在原子层淀积工艺中使用含金属硝酸盐的前体,形成例如金属、金属氧化物和金属氮化物等含金属的膜,这些膜具有原子突变界面和优异的均一性。

Description

利用含硝酸盐的前体淀积原子层
本发明涉及含金属硝酸盐的前体化合物,这种含金属硝酸盐的前体化合物用于形成含金属的膜(元素金属,金属氧化物或金属氮化物)期间原子层的淀积(ALD),所说含金属膜可用于许多半导体应用,例如作为半导体晶体管的栅介质,作为存储电路的电容介质,作为反应扩散阻挡层和其它类似应用。具体说,本发明使用含金属硝酸盐的化合物作为原子层淀积的前体化合物,提供具有以下优点的含金属膜:原子突变界面;精细的厚度控制(到约1单层);优异的均一性。含金属硝酸盐的前体包括硝酸盐、氧代硝酸盐、及取代硝酸盐配合物。
金属氧化物的原子层外延(ALE)一般使例如TiCl4等金属氯化物前体与水起反应形成金属氧化层。一种这样的方法例如记载于T.Suntola & M.Simpson的“Atomic Layer Epitaxy”(Chapamn &Hall,1990,New York,New York)中。授予Ackerman的美国专利5256244中公开了一种使用金属的水解氯化物和水通过AlE淀积金属氧化物膜的方法。
这些现有技术方法具有残留的氯留在氧化物膜中,并可能形成氯化物的缺点。正如所属领域的技术人员了解的,电子器件中是不希望有氯和氯化物的。这是由于由金属氯化物前体形成的金属氧化物会由于离子Cl-的传导而稍有导电性(高漏电流)。另外,氯会从金属氧化物中扩散出来,对电子器件的其它区造成负面影响。
授予Suntola的美国专利4058430公开了一种使用与一种物质反应的单汽相元素形成膜的方法。该方法具有以下缺点:需要物理蒸发元素;某些元素具有低挥发率;在金属氧化物膜的情况下,需要氧化元素。
授予Mochizuki等人的美国专利4861417公开了一种使用例如三甲基铝或三乙基铝等烷基铝前体作通过ALE生长Ⅲ-Ⅴ族化合物半导体的源材料的方法。另一方面,授予Mochizuki等人的美国专利5166092公开了一种使用不同极性的至少含一个甲基的源化合物通过ALE生长Ⅲ-Ⅴ族化合物半导体的方法。这两种现有技术的方法的缺点在于残留碳会由于烷化铝前体的不完全分解而进入膜中。另外,由于会使金属氧化物稍有导电性,所以碳是不希望的,碳会从金属氧化物中扩散出来,对电子器件的其它区造成负面影响。
一般用于形成金属膜的另一技术是分子束外延(MBE)。MBE一般使用蒸发的金属作源材料。MBE包括一般使用金属的碳酸盐、醇盐、β-二酮盐或卤化物作源材料的气体源MBE、有机金属MBE、金属有机MBE及化学束外延。
已有记载,用不需要氧化共反应剂的无水挥发金属硝酸盐作金属氧化物膜的化学汽相淀积(CVD)的前体,见W.L.Gladfelter等人的“Anhydrous Metal Nitrates as Volatile Single Source Precursors forthe CVD of Metal Oxide Films”(Chem.Vap.Dep.1998,4,No.6,p.220),这里引用该用文献。在CVD应用中使用金属硝酸盐前体的方法还记载于M.L.Galfelter等人的“Low Temperature CVD ofCrystalline Titanium Dioxide Film Using Tetranitratotitanium(iv)”(Chem.Vap.Dep.1998,4,No.1,p.9)和M.L.Galfelter等人的“DoesChemistry Really Matter im the Chemical Vapor Deposition ofTitanium Dioxide?Precursor and Kinetic Effects on theMicrostructure of Polycrystalline Films”(JACS,1999,121,p.5220)。这些文献都公开了可以用含金属硝酸盐的前体通过CVD形成氧化物膜,而不用氧化共反应剂。然而,这些文献中没有公开在ALD应用中通过氧化、氮化或还原共反应剂使用含硝酸盐的前体的方法。
本发明不同于上述文献,本发明中含金属硝酸盐的前体与氧化、氮化和还原共反应剂结合可以通过ALD分别生长氧化物、氮化物和金属膜。
在上述引用的文献中,金属氧化物膜通过CVD而不是氧化共反应剂生长。如这些文献中所述的,由于含金属硝酸盐的前体是强氧化和氮化剂,能够与许多化合物剧烈反应,这是必须的。此外,存在环境空气、水、光时和/或在100℃那么低的温度下,金属硝酸盐前体容易分解。金属硝酸盐的反应性容易产生与其它前体和与例如氧化、氮化和还原剂等共反应剂的汽相反应。汽相反应可导致金属硝酸盐前体和共反应剂的早期分解,可能会汽相形成颗粒,减少引入膜中的反应剂,难以再现膜的化学计量、厚度和晶片上的均一性,由于不可控的分解,造成了输送管道和CVD室的沾污。
例如,为形成氮化膜,需要将例如氨等氮化剂引入气体蒸汽。氨会汽相与金属硝酸盐前体反应,导致汽相早期分解,和很差的生长特性。
如上所述,常规CVD系统中使用金属硝酸盐具有妨碍成功的明显问题。然而,使用含金属硝酸盐的前体利用ALD技术可以避免上述困难。通过改变气体蒸汽中的反应剂,气体反应的机会减少,允许金属硝酸盐与例如氧化、氮化、还原剂等不兼容反应剂及其它含金属前体一起使用,形成利用常规CVD无法制造的多组分金属氧化物、金属氮化物及金属膜。
ALD不同于CVD,因此需要不同于CVD的前体。ALD以顺序改变前体、反应剂和清洗气体脉冲输入的周期性方式进行。ALD前体必须具有自限制效应,以便前体吸收到衬底上直到形成单层。由于自限制效应,每个操作周期只淀积一个单层或亚单层,甚至供应额外的前体时,也不会在生长层上淀积附加的前体。在CVD中,随着膜的生长,由于前体在衬底表面上的连续化学反应,前体和产物同时到达衬底。膜的均一性和再生长取决于如何保持衬底上的合适前体和反应剂流量。生长速率正比于衬底上的前体流量及衬底温度。由于不同的生长机制,ALD和CVD需要的前体不同。在ALD中,前体必须容易在键合点以自限制方式吸附到生长表面,一旦吸附后,必须容易与共反应剂反应形成希望的膜。在CVD中,前体和共反应剂必须同时在衬底表面上适当地反应,以形成希望的膜。所以,许多有用的CVD前体不能用作ALD前体,因而,选择用于ALD方法的前体并非不重要的或是显然的。
本发明的一个目的是提供一种原子层淀积(ALD)方法,该方法利用含金属硝酸盐的前体和氧化剂,淀积用作集成电路中栅介质或电容介质或反应阻挡层的金属氧化膜。
本发明再一目的是提供一种ALD方法,该方法利用含金属硝酸盐的前体和还原剂,淀积用作例如用于高速微处理器中的铜互联等布线互联结构中的布线层或导电阻挡层的高保形金属膜。
本发明又一目的是提供一种ALD方法,该方法利用含金属硝酸盐的前体和氮化剂,淀积用作例如用于高速微处理器中的铜互联等布线互联结构中的阻挡层。金属氮化物层还可以用于光半导体器件。
本发明还一目的是提供一种ALD方法,该方法利用含金属硝酸盐的前体和含金属非硝酸盐的前体(选自烷基金属、金属卤化物、金属β-二酮盐、金属醇盐、金属氢化物、甲硅烷基金属、金属酰胺)及氧化剂,淀积多组分或多层金属氧化物。
本发明再一目的是提供一种ALD方法,该方法利用含金属硝酸盐的前体和含金属非硝酸盐的前体(选自烷基金属、金属卤化物、金属β-二酮盐、金属醇盐、金属氢化物、甲硅烷基金属、金属酰胺)及还原剂,淀积多组分或多层高保形金属膜。
本发明再一目的是提供一种ALD方法,该方法利用含金属硝酸盐的前体和含金属非硝酸盐的前体(选自烷基金属、金属卤化物、金属β-二酮盐、金属醇盐、金属氢化物、甲硅烷基金属、金属酰胺)及氮化剂,淀积多组分或多层高保形金属氮化膜。
本发明再一目的是提供一种ALD方法,该方法利用含金属硝酸盐的前体形成配合多层结构,所说结构含有以任何组合形式交替的金属氧化膜、金属氮化物膜和金属膜。
本发明其它目的包括:
-提供制造晶体管结构的方法,所说晶体管结构含有利用含金属硝酸盐的前体利用ALD法淀积的氧化物栅介质。
-提供制造电容器结构的方法,所说晶体管结构含有利用含金属硝酸盐的前体利用ALD法淀积的无机电容介质。
-提供制造具有例如介质反光镜或抗反射涂层等光学应用的多层结构结构的方法,所说多层结构具有以不同组合交替的金属氧化物、金属和金属氮化物层,这些层是利用含金属硝酸盐的前体利用ALD法淀积的。
应注意,本申请中使用的术语“原子层淀积(ALD)”包括利用前体、共反应剂和清洗气体进行的原子层外延及分子束外延(MBE)、气体源MBE、有机金属MBE、和化学束外延。
由于可以产生原子突变界面和将介质厚度控制在1-10nm范围,并且精度精度为0.1nm,所以利用ALD形成的金属氧化物膜的优点在于可用作具有小特征尺寸(小于150nm)的场效应晶体管(FET)的栅介质。容易实施ALD法在直径为200和300nm的Si晶片衬底上淀积均匀膜。其它应用包括:动态随机存取存储器电路中的电容器,反应扩散阻挡层、绝缘体、去耦电容器及耐磨涂层,但不限于这些。
如上所述,用于形成金属氧化物的前述ALD法利用金属氯化物与水的反应,形成金属氧化物。这些现有技术的ALD法的缺点是残留的氯会留在膜中或形成氯氧化物。利用本发明的方法可以克服这些缺点。
本发明记载了一种使用含金属硝酸盐的前体M(NO3)x利用ALD法形成含金属膜的方法。术语“含金属的膜”包括金属氧化物、金属氮化物、元素金属或它们的任意组合或包括多层和多组分的混合物。在利用ALD形成含金属膜时,本发明的前体与共反应剂气体,例如氧化剂、还原剂或氮化剂等一起使用。在上述分子式中,M是选自Ti、Ga、Zr、Sn、Co、V、Cr、Hf、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价态。
本发明的前体还可以与含金属非硝酸盐的前体和共反应剂气体一起使用,以便利用ALD生长多组分或多层含金属的膜。
除使用所有配位体为NO3配位体的金属硝酸盐外,本发明还尝试用取代基R代替某些但不是所有NO3,其中R是氢、氧、例如N2O5或NO2等氧代硝酸盐、羟基、芳香剂、酰胺、烷基、甲硅烷基、醇盐、β-二酮或它们的混合物。在许多金属氧化物应用中,引入氮(氧氮化物)是有益的,可以得到优异的介质特性。
ALD法中使用含金属硝酸盐的前体的优点在于,反应的副产物是NOx分子,如果进入膜中并热分解,则会形成不会离子导电的氧氮化物或氮化物物质。通过用其它的配位体例如氢、氧、例如N2O5或NO2等氧代硝酸盐、羟基、芳香剂、酰胺、烷基、甲硅烷基、醇盐、β-二酮取代用于硝酸盐配位体,可以得到例如挥发性和化学热稳定性等优异的前体化学性质。通过避免使用含卤素前体,本发明解决了氯沾污问题。金属膜中的任何残留硝酸盐都可能转变成挥发的NOx或不可能导电的绝缘氧氮化物或氮化物。
所以,本发明提供一种含金属的膜的淀积方法,比起氯沾污的含金属的膜,本发明的膜会具有优异的电特性。
由于本发明可以将金属氮化物的厚度控制在1-10nm范围内,并且精确度为0.1nm,所以本发明形成的金属氮化物膜的优点在于可以用作薄膜布线互联结构中的扩散/反应阻挡层。另外,小通道(100-300nm直径)和沟槽内的ALD氮化物膜的保形性优异(几乎为100%的台阶覆盖)。
本发明的含金属硝酸盐的前体还特别适用于享有与ALD类似的层生长机制生长的层的MBE。金属氧化物的MBE一般使用金属源,结果产生非化学计量的氧化物膜。本发明通过用预氧化的、更易反应的含金属硝酸盐的前体替换低反应性的金属(常常难以氧化金属)解决了这个问题。本发明前体化合物中的硝酸盐配位体容易被含氧物质替代,或硝酸盐可以分解,并给金属膜提供氧原子。
本发明的金属氧化物一般具有约10-约100的介质常数,远大于SiO2。采用了ALD制造的金属氧化物的本发明的FET栅介质厚度比SiO2大,因此具有比具有SiO2栅介质的FET低的栅漏电流和提高的可靠性。
图1A-B是展示薄膜布线结构的剖面图,其中在形成保形地涂敷双镶嵌结构的被腐蚀结构的金属氮化物阻挡层时采用了本发明的ALD法。
如上所述,本发明提供用于包括进行前体和共反应剂的交替脉冲输入的MBE、气体源MBE、有机金属MBE、化学束外延等淀积工艺的ALD工艺中的前体化合物。具体说,本发明的前体化合物包括分子式为M(NO3)x的含金属硝酸盐的化合物,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
本发明的一个实施例中,某些但不是NO3全部配位体由取代基R取代,其中取代基R选自氢、氧、例如N2O5或NO2等氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮。这里也可以采用所说R取代基的各种组合。
本发明的金属前体化合物用于常规的ALD工艺或相关的原子淀积工艺,例如MBE,并用于代替金属氯化物前体使用。如所属领域的技术人员所了解的,ALD工艺利用至少一种反应剂(即前体)和清洗气体的交替脉冲输入形成含金属的膜。
在本发明的一个实施例中,共反应剂与金属前体化合物一起使用。在本发明的该实施例中,脉冲输入顺序可以是:
金属前体
惰性清洗
共反应剂
惰性清洗
在另一实施例中,可以使用两种以上金属前体化合物。这种情况下该物质中的脉冲输入顺序为:
第一金属前体
惰性清洗
共反应剂
惰性清洗
第二金属前体
惰性清洗
共反应剂
惰性清洗
在另一实施例中,可以使用两种以上的金属前体化合物,前提条件是至少一种金属前体是含金属硝酸盐的前体。另一金属前体可以是含金属非硝酸盐的前体。
本发明也可以采用其它脉冲输入顺序,只要反应剂分别地脉冲输入到衬底上从而在衬底上形成顺序建立的膜便可。例如,脉冲输入顺序可以是共反应剂、惰性清洗、前体和惰性清洗。
本发明中使用的共反应剂可以是一般用于ALD工艺的常规的氧化剂、氮化剂和还原剂。
合适的氧化剂包括但不限于:氧、臭氧、水、过氧化氢、氧化亚氮或任何其它氧化剂或它们的组合。
可用于本发明的合适还原剂包括:氢、形成气体或任何其它还原剂或它们的组合。
可用于本发明的合适氮化剂包括但不限于:氨、联氨、叔丁胺、异丙胺、叠氮化氢或任何其它氮化剂或它们的组合。
合适的含金属非硝酸盐的前体包括但不限于:烷基金属、金属卤化物、金属β-二酮盐、金属醇盐、金属氢化物、甲硅烷基金属、金属酰胺或其它任何含金属非硝酸盐的前体或它们的组合。
如上所述,本发明的前体化合物用于每种反应剂顺序淀积的ALD工艺。在ALD工艺中,前体和共反应剂不必象CVD工艺那样一起反应。相反,每种反应剂一般在低于CVD目前所用温度的低淀积温度下顺序脉冲输入到合适的衬底上。
在本发明中,淀积温度随所用前体化合物和共反应剂而改变,但一般低于400℃(另一方面,CVD淀积一般在500℃以上)。本发明中优选的淀积温度约为200℃-400℃。
如上所述,ALD包括前体、清洗气和共反应剂的顺序淀积。惰性气体清洗分隔开了各种反应剂脉冲输入。本发明所用的惰性气体包括使用例如He、Ar、N2或其它类似气体等惰性气体。前体、共反应剂和惰性气体的脉冲输入时间对于本发明来说不重要,但一般脉冲输入时间为0.1-约5秒,更优选0.2-约1秒。注意,前体和共反应剂的脉冲输入时间一般少于惰性气体清洗的时间。
本发明中,整个一系列脉冲输入(前体/惰性清洗/共反应剂/惰性清洗)称作一个周期。完成一个周期后,在衬底表面上淀积约0.01-约1个单层的含金属的膜。上述单层范围对应于约0.02-约1nm的膜厚。为实现希望厚度,周期可以重复任何次数。
本发明的前体化合物可以脉冲输入于其上的合适衬底包括但不限于:半导体衬底、介质、金属层、有机衬底、玻璃、金属氧化物和塑料聚合物衬底。术语“半导体衬底”包括含Si半导体衬底、绝缘体上硅衬底、锗衬底、SiGe衬底、GaAs衬底和其它类似的半导体衬底。例如Si和SiGe等的层状衬底也可用于本发明。
给出以下实例以展示可从本发明得到的某些优点,并表明本发明可以扩展到的其它领域。
实例1
该例中,在制造FET的栅介质时使用本发明的方法。具体说,该例中,使用含构图结构的Si晶片衬底。衬底的所选区域含有位于两FET之间的浅沟槽隔离(STI)氧化物,其它区域含有场氧化物,裸Si的所选区域暴露于将设置FET的区域中。
然后,将Si晶片衬底设置于用于原子层淀积的合适反应器中,例如Microchemistry制造的市售F-200反应器中,并利用本发明的方法淀积ZrO2层到厚度为1-100nm。
该例中,两种反应剂是Zr(NO3)4和H2O。衬底温度约为350℃,反应剂(前体和共反应剂)及惰性气体清洗按以下顺序脉冲输入到反应器:
1、Zr(NO3)4-0.5秒
2、惰性清洗-2秒
3、H2O-0.5秒
4、惰性清洗-2秒
上述步骤的完整系列即1-4称为一个周期。一个周期完成后,淀积了约0.1-约1个单层的ZrO2,或大致0.03nm的氧化物。该例中,所淀积的ZrO2的优选厚度为10nm,所以进行333次如上所述的气体转换周期。如所属领域的技术人员所了解的,可以淀积希望厚度的ZrO2,形成FET栅介质,并可以调整气体转换周期数,淀积希望膜厚度。
例2
该例中,在形成用作晶体管的栅介质的ZrO2和HfO2膜的双层时使用本发明的方法。具体说,用含有构图结构的Si晶片衬底。所选的衬底区含有浅沟槽隔离(STI)氧化物,其它区含有场氧化物树脂,所选的裸Si区暴露于将要设置FET的区域中。
然后,将衬底设置于Microchemistry制造的市售F-200反应器中,并利用本发明的ALD方法淀积ZrO2层到厚约0.1-约10nm。
然后,利用本发明的工艺淀积HfO2层到厚度也为约0.1-约10nm。可以重复该工艺,以淀积层状介质。
在ZrO2淀积时,衬底温度约为350℃。反应剂及惰性气体清洗按以下顺序脉冲输入到反应器:
1、Zr(NO3)4
2、惰性清洗
3、H2O
4、惰性清洗
反应剂脉冲输入(1和3)约0.5秒。惰性气体清洗脉冲输入(2-4)约2秒。该例中,所淀积ZrO2的希望厚度是5nm,所以要进行167次上述气体转换周期。
在HfO2淀积时,衬底温度约为300℃。反应剂及惰性气体清洗按以下顺序脉冲输入到反应器:
1、Hf(NO3)4
2、惰性清洗
3、H2O
4、惰性清洗
反应剂脉冲输入(1和3)约0.5秒。惰性气体清洗脉冲输入(2-4)约2秒。该例中,所淀积HfO2的希望厚度是5nm,所以要进行167次上述气体转换周期。
该例中所述的本发明方法可以扩展到包括生长由利用含硝酸盐的前体利用ALD淀积的交替金属氧化层构成的任何多层金属氧化膜。
该例中所述的本发明方法可以扩展到包括生长由利用其中至少一种金属前体是含金属硝酸盐前体的ALD淀积的交替金属氧化层构成的任何多层金属氧化膜。
例3
该例中,Si衬底设置于Microchemistry制造的市售F-200反应器中,利用本发明的工艺淀积多组分ZrHfO2层。多组分金属氧化物膜可用作晶体管的栅介质层隔离或电容器。
具体说,该例所用的两种前体是硝酸锆(Zr(NO3)4)和硝酸铪(Hf(NO3)4),共反应剂气体是水(氧化剂)。衬底温度为约300℃,在形成多组分金属氧化物时使用以下脉冲输入顺序:
1、Hf(NO3)4
2、惰性清洗
3、H2O
4、惰性清洗
5、Zr(NO3)4
6、惰性清洗
7、H2O
8、惰性清洗
反应剂和前体脉冲输入(步骤1、3、5、7)约为0.5秒,每次惰性气体清洗脉冲输入约为2秒。该例中所淀积ZrHf氧化物的希望厚度是50nm,所以进行500次上述气体转换周期。
该例中所述的本发明方法可以扩展到包括生长由利用含硝酸盐的前体利用ALD淀积的交替金属氧化层构成的任何多组分金属氧化膜。优选多组分金属氧化物将是钙钛矿型氧化物,分子式为ABO3,其中B至少是含选自Al、Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W和Cu中的一种金属的酸性氧化物,A至少是一个具有约1-3个正电荷的附加阳离子。例子包括下述钙钛矿型氧化物:例如钛酸钡、钛酸锶、钛酸锶钡、钛酸铅、钛酸锆铅、钛酸锆酸镧铅、钛酸镧钡等钛酸系材料,例如铌酸镁铅、铌酸锂、钽酸锂、铌酸钾、和铌酸钽钾等铌酸或钽酸系材料,例如铌酸锶钡、铌酸钡铅、铌酸钛钡等钨-青铜系材料,或例如钽酸铋锶、和钛酸铋等双层钙钛矿系材料。利用本发明的ALD方法还可以形成锆酸盐、铪酸盐或例如铝酸钇、铝酸镧等铝酸盐。其它优选多组分金属氧化物包括硅酸铪、硅酸锆、包括掺杂有稀土的硅酸盐等的硅酸铝等含硅氧化物。
该例中介绍的本发明方法可扩展到包括生长由至少一种金属前体是含硝酸盐的前体的ALD淀积的交替金属氧化层构成的任何多组分金属氧化膜。
例4
该例中,在形成FET的栅介质时使用本发明的方法。该例的栅介质由所淀积的SiO2层和所淀积的金属氧化物层构成。具体说,使用含构图结构的Si晶片衬底,使用Microchemistry制造的F-200反应器,淀积SiO2层和金属氧化物层。
首先淀积SiO2层(厚约0.3-约0.5nm),衬底保持在500℃,然后,利用本发明的工艺,在350℃的温度下淀积HfO2层,至厚约10nm。
在SiO2淀积中,Si前体是三甲基硅烷基硝酸盐(Si(CH3)3(NO3)),共反应剂是水。按以下顺序在反应器中脉冲输入不同的气体:
1、Si(CH3)3(NO3)
2、惰性清洗
3、H2O
4、惰性清洗
反应剂脉冲输入(步骤1和3)约0.5秒,惰性气体清洗脉冲输入约2秒。希望的SiO2厚度是0.2nm,所以进行7次上述气体转换周期。
在HfO2淀积中,衬底温度约300℃,前体、共反应剂和惰性清洗气体按以下顺序脉冲输入到反应器中:
1、Hf(NO3)4
2、惰性清洗
3、H2O
4、惰性清洗
步骤1和3的脉冲输入时间各自约为0.5秒,惰性气体清洗脉冲输入时间约2秒。该例中,希望的HfO2层厚度为5nm,所以在淀积该金属氧化膜时,进行167次上述气体转换周期(重复步骤1-4)。
例5
该例中,制造薄膜布线结构,使用本发明的方法淀积保形地涂敷在双镶嵌结构的被腐蚀结构的金属氮化阻挡层。图1A示出了在介质层14中腐蚀的沟槽10和通道12。介质层14下是金属薄膜布线16和布线层的介质层18。如下所述,使用本发明的工艺,所得结构示于图1B,该结构包括保形金属氮化物层20。
含有图1A所示双镶嵌结构的衬底设于ALD反应器中。该例中阻挡膜是TiN。前体是Ti(NO3)4,衬底温度为300℃。使用以下脉冲输入顺序:
1、Ti(NO3)4-0.5秒
2、惰性清洗-2秒
3、NH3-0.5秒
4、惰性清洗-2秒
在形成厚5nm的TiN层时,使用167次上述脉冲输入周期。
该例中介绍的本发明方法可以扩展到包括生长利用含硝酸盐的前体利用ALD法淀积的任何氮化膜,例如包括分别由Ta(NO3)5或W(NO3)6淀积的TaN或WN。
例6
该例中,将蓝宝石衬底设于ALD反应器(Microchemistry制造的F-200反应器),并利用本发明的方法淀积GaN层。
该例所用前体是硝酸镓,反应剂是NH3。衬底温度为500℃,形成GaN层是使用以下脉冲输入顺序:
1、NH3-0.5秒
2、惰性清洗-2秒
3、硝酸镓-0.5秒
4、惰性清洗-2秒
该例中,希望的厚度是100nm。所以进行2000次上述气体转换周期。通过调节气体转换周期数,可以得到任何希望厚度的GaN。
例7
该例中,淀积金属膜(Cr)。把将要涂敷Cr的衬底设于Microchemistry制造的F-200反应器,并利用本发明的方法淀积Cr金属层。
衬底温度为400℃,在衬底上淀积Cr金属层时使用以下脉冲输入顺序:
1、氢-0.5秒
2、惰性清洗-2秒
3、氧代硝酸铬-0.5秒
4、惰性清洗-2秒
在形成厚度为80nm的Cr金属膜时,使用2000次上述气体转换周期。
例8
该例中,将Si衬底设于ALD反应器(Microchemistry制造的F-200反应器),并利用本发明的方法淀积由Mn,Fe/Al2O3/Co构成的多层结构。含有多层膜的所得结构可用于磁RAM或磁隧道阻挡应用。
在第一层中,两种前体是硝酸锰和硝酸铁,还原剂是氢。衬底温度为500℃,形成多层结构时使用以下脉冲输入顺序:
1、氢-0.5秒
2、惰性清洗-2秒
3、硝酸铁-0.5秒
4、惰性清洗-2秒
5、氢-0.5秒
6、惰性清洗-2秒
7、硝酸锰-0.5秒
8、惰性清洗-2秒
希望的FeMn合金厚度是10nm,所以使用200个周期。
第二层中,前体是硝酸铝,氧化剂是水。利用以下顺序脉冲输入前体、反应剂和惰性清洗气体。
1、硝酸铝-0.5秒
2、惰性清洗-2秒
3、水-0.5秒
4、惰性清洗-2秒
在提供厚2nm的氧化铝膜时,使用20个周期。
利用硝酸钴作前体,用氢气作还原剂,形成第三层。衬底温度约500℃,在形成第三层时使用以下脉冲输入顺序:
1、硝酸钴-0.5秒
2、惰性清洗-2秒
3、氢-0.5秒
4、惰性清洗-2秒
在形成厚约100nm的Co层时,使用200次气体转换周期。
尽管结合其优选实施例具体展示和介绍了本发明,但所属领域的技术人员应理解,在不脱离本发明精神和范围的情况下,可以在形式和细节上做出各种改变。因此,并不想将本发明限制于这里所介绍和展示的精确形式和细节,而是落在所附权利要求书范围内。

Claims (53)

1、一种在衬底上形成含金属薄膜的方法,包括原子层淀积工艺,其中在容纳有所说衬底的反应器中引入含金属硝酸盐的前体。
2、根据权利要求1的方法,其中所说含金属硝酸盐的前体的分子式是M(NO3)x,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
3、根据权利要求2的方法,其中用取代基R代替所说含金属硝酸盐的前体的某些但非全部所说NO3配位体,所说取代基R选自氢、氧、氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮和它们的混合物。
4、根据权利要求1的方法,其中共反应气体与所说含金属硝酸盐的前体一起使用,所说共反应气体独立于所说含金属硝酸盐的前体引入。
5、根据权利要求4的方法,其中所说共反应气体是还原剂、氧化剂或氮化剂。
6、根据权利要求5的方法,其中所说还原剂选自氢、形成气体和它们的组合。
7、根据权利要求5的方法,其中所说氧化剂选自氧、臭氧、水、过氧化氢、氧化亚氮和它们的组合。
8、根据权利要求5的方法,其中所说氮化剂选自氨、联氨、叠氮化氢、叔丁胺、异丙胺和它们的组合。
9、根据权利要求1的方法,其中惰性气体与所说含金属硝酸盐的前体一起使用,所说惰性气体作为清洗气体独立于所说含金属硝酸盐的前体引入。
10、根据权利要求1的方法,其中所说含金属的前体形成金属氮化膜、金属氧化膜、金属膜或它们的任何组合膜、多层膜或多组分膜。
11、根据权利要求1的方法,其中一种以上含金属非硝酸盐的前体与所说含金属硝酸盐的前体一起使用,所说含金属非硝酸盐的前体独立于所说含金属硝酸盐的前体引入。
12、根据权利要求11的方法,其中所说含金属非硝酸盐的前体选自烷基金属、金属醇盐、金属卤化物、金属β-二酮盐、金属氢化物、甲硅烷基金属、金属酰胺和它们的混合物和组合。
13、一种利用原子层淀积在衬底上形成金属氧化膜的方法,包括对所说衬底进行三种或更多不同气体的交替脉冲输入的程序,其中所说气体中的一种包括含金属硝酸盐的前体,所说气体中的另一气体是惰性气体,所说气体中再一气体是氧化剂。
14、根据权利要求13的方法,其中所说含金属硝酸盐的前体的分子式是M(NO3)x,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
15、根据权利要求14的方法,其中用取代基R代替所说含金属硝酸盐的前体的某些但非全部所说NO3配位体,所说取代基R选自氢、氧、氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮和它们的组合。
16、根据权利要求13的方法,其中所说氧化剂选自氧、臭氧、水、过氧化氢、氧化亚氮和它们的组合。
17、根据权利要求13的方法,其中使用两种或更多所说含金属硝酸盐的前体。
18、一种利用原子层淀积在衬底上形成金属膜的方法,包括对所说衬底进行含金属硝酸盐的前体、清洗气体和还原气体的交替脉冲输入。
19、根据权利要求18的方法,其中所说含金属硝酸盐的前体的分子式是M(NO3)x,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
20、根据权利要求19的方法,其中用取代基R代替所说含金属硝酸盐的前体的某些但非全部所说NO3配位体,所说取代基R选自氢、氧、氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮和它们的混合物。
21、根据权利要求18的方法,其中所说还原剂选自氢、形成气体和它们的组合。
22、根据权利要求18的方法,其中使用两种或更多所说含金属硝酸盐的前体。
23、一种利用原子层淀积在衬底上形成金属氮化膜的方法,包括对所说衬底进行含金属硝酸盐的前体、清洗气体和氮化剂的交替脉冲输入。
24、根据权利要求23的方法,其中所说含金属硝酸盐的前体的分子式是M(NO3)x,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
25、根据权利要求24的方法,其中用取代基R代替所说含金属硝酸盐的前体的某些但非全部所说NO3配位体,所说取代基R选自氢、氧、氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮和它们的混合物。
26、根据权利要求23的方法,其中所说氮化剂包括氨、联氨、叠氮化氢、叔丁胺、异丙胺和它们的组合。
27、根据权利要求23的方法,其中使用两种或更多所说含金属硝酸盐的前体。
28、一种形成含金属薄膜的原子层淀积方法,至少包括向容纳有衬底的反应器内引入含金属的前体的步骤,所说含金属的前体的分子式为M(NO3)x,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
29、根据权利要求28的方法,其中用取代基R代替所说含金属硝酸盐的前体的某些但非全部所说NO3配位体,所说取代基R选自氢、氧、氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮和它们的混合物。
30、根据权利要求28的方法,其中共反应气体与所说含金属硝酸盐的前体一起使用,所说共反应气体独立于所说含金属硝酸盐的前体引入。
31、根据权利要求30的方法,其中所说共反应气体是还原剂、氧化剂或氮化剂。
32、根据权利要求31的方法,其中所说还原剂选自氢、形成气体和它们的组合。
33、根据权利要求31的方法,其中所说氧化剂选自氧、臭氧、水、过氧化氢、氧化亚氮和它们的组合。
34、根据权利要求31的方法,其中所说氮化剂选自氨、联氨、叠氮化氢、叔丁胺、异丙胺和它们的组合。
35、根据权利要求28的方法,其中惰性气体与所说含金属硝酸盐的前体一起使用,所说惰性气体独立于所说含金属硝酸盐的前体引入。
36、一种利用原子层淀积在衬底上形成多组分或多层金属氧化膜的方法,包括对所说衬底进行四种或更多不同气体的交替脉冲输入的程序,其中所说气体中的一种包括含金属硝酸盐的前体,所说气体中的另一气体是惰性气体,所说气体中再一气体是氧化剂,所说气体中的还一种是含金属非硝酸盐的前体。
37、根据权利要求36的方法,其中所说含金属硝酸盐的前体的分子式是M(NO3)x,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
38、根据权利要求37的方法,其中用取代基R代替所说含金属硝酸盐的前体的某些但非全部所说NO3配位体,所说取代基R选自氢、氧、氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮和它们的组合。
39、根据权利要求36的方法,其中所说氧化剂选自氧、臭氧、水、过氧化氢、氧化亚氮和它们的组合。
40、根据权利要求36的方法,其中使用两种或更多所说含金属硝酸盐的前体。
41、根据权利要求36的方法,其中所说含金属非硝酸盐的前体选自烷基金属、金属醇盐、金属卤化物、金属β-二酮盐、金属氢化物、甲硅烷基金属、金属酰胺和它们的混合物及组合。
42、一种利用原子层淀积在衬底上形成多组分或多层金属膜的方法,包括对所说衬底进行四种或更多不同气体的交替脉冲输入的程序,其中所说气体中的一种包括含金属硝酸盐的前体,所说气体中的另一气体是惰性气体,所说气体中再一气体是还原剂,所说气体中的还一种是含金属非硝酸盐的前体。
43、根据权利要求42的方法,其中所说含金属硝酸盐的前体的分子式是M(NO3)x,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
44、根据权利要求43的方法,其中用取代基R代替所说含金属硝酸盐的前体的某些但非全部所说NO3配位体,所说取代基R选自氢、氧、氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮和它们的组合。
45、根据权利要求42的方法,其中所说还原剂选自氢、形成气体和它们的组合。
46、根据权利要求42的方法,其中使用两种或更多所说含金属硝酸盐的前体。
47、根据权利要求42的方法,其中所说含金属非硝酸盐的前体选自烷基金属、金属醇盐、金属卤化物、金属β-二酮盐、金属氢化物、甲硅烷基金属、金属酰胺和它们的混合物及组合。
48、一种利用原子层淀积在衬底上形成多组分或多层金属氮化膜的方法,包括对所说衬底进行四种或更多不同气体的交替脉冲输入的程序,其中所说气体中的一种包括含金属硝酸盐的前体,所说气体中的另一气体是惰性气体,所说气体中再一气体是氮化剂,所说气体中的还一种是含金属非硝酸盐的前体。
49、根据权利要求48的方法,其中所说含金属硝酸盐的前体的分子式是M(NO3)x,其中M是选自Ti、Ga、Zr、Sn、Co、V、Pt、Pd、Fe、Ni、Mo、W、Ag、Au、Hf、Cr、Cu、Mn、La、Y、Al、Gd、Nd、Sm、Si、Nb、Ta和In中的一种金属,x是M的化合价。
50、根据权利要求49的方法,其中用取代基R代替所说含金属硝酸盐的前体的某些但非全部所说NO3配位体,所说取代基R选自氢、氧、氧代硝酸盐、羟基、芳香剂、烷基、胺、甲硅烷基、醇盐、β-二酮和它们的组合。
51、根据权利要求48的方法,其中所说氮化剂选自氨、联氨、叠氮化氢、叔丁胺、异丙胺和它们的组合。
52、根据权利要求48的方法,其中使用两种或更多所说含金属硝酸盐的前体。
53、根据权利要求48的方法,其中所说含金属非硝酸盐的前体选自烷基金属、金属醇盐、金属卤化物、金属β-二酮盐、金属氢化物、甲硅烷基金属、金属酰胺和它们的混合物及组合。
CNB00125992XA 1999-10-19 2000-10-16 利用含硝酸盐的前体淀积原子层 Expired - Fee Related CN1260403C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/421,096 US6203613B1 (en) 1999-10-19 1999-10-19 Atomic layer deposition with nitrate containing precursors
US09/421,096 1999-10-19

Publications (2)

Publication Number Publication Date
CN1293265A true CN1293265A (zh) 2001-05-02
CN1260403C CN1260403C (zh) 2006-06-21

Family

ID=23669152

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB00125992XA Expired - Fee Related CN1260403C (zh) 1999-10-19 2000-10-16 利用含硝酸盐的前体淀积原子层

Country Status (3)

Country Link
US (1) US6203613B1 (zh)
CN (1) CN1260403C (zh)
TW (1) TW477829B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101687896B (zh) * 2007-04-09 2013-03-27 哈佛学院院长等 用于铜互连的氮化钴层及它们的形成方法

Families Citing this family (754)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
DE10010821A1 (de) 2000-02-29 2001-09-13 Infineon Technologies Ag Verfahren zur Erhöhung der Kapazität in einem Speichergraben und Grabenkondensator mit erhöhter Kapazität
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6808758B1 (en) * 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
KR20010114050A (ko) * 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6458416B1 (en) * 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
US7192888B1 (en) 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7094690B1 (en) 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6297107B1 (en) * 2000-10-19 2001-10-02 Advanced Micro Devices, Inc. High dielectric constant materials as gate dielectrics
US7476420B2 (en) * 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US7014709B1 (en) 2001-01-19 2006-03-21 Novellus Systems, Inc. Thin layer metal chemical vapor deposition
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
KR100400037B1 (ko) * 2001-02-22 2003-09-29 삼성전자주식회사 콘택 플러그를 구비하는 반도체 소자 및 그의 제조 방법
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6770923B2 (en) 2001-03-20 2004-08-03 Freescale Semiconductor, Inc. High K dielectric film
DE10296448T5 (de) * 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
JP3730962B2 (ja) * 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
DE10121132A1 (de) * 2001-04-30 2002-10-31 Infineon Technologies Ag Verfahren zum Erzeugen einer metallischen oder metallhaltigen Schicht unter Verwendung eines Präkursors auf einer silizium- oder germaniumhaltigen Schicht, insbesondere eines elektronischen Bauelements
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6518106B2 (en) * 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
KR100414156B1 (ko) * 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6528884B1 (en) * 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
JP3863391B2 (ja) 2001-06-13 2006-12-27 Necエレクトロニクス株式会社 半導体装置
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
KR100400252B1 (ko) 2001-06-29 2003-10-01 주식회사 하이닉스반도체 탄탈륨 옥사이드 캐퍼시터의 형성 방법
US6511867B2 (en) * 2001-06-30 2003-01-28 Ovonyx, Inc. Utilizing atomic layer deposition for programmable device
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
CA2452656C (en) * 2001-07-18 2010-04-13 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6818493B2 (en) * 2001-07-26 2004-11-16 Motorola, Inc. Selective metal oxide removal performed in a reaction chamber in the absence of RF activation
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US20030040171A1 (en) * 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
JP4178776B2 (ja) * 2001-09-03 2008-11-12 東京エレクトロン株式会社 成膜方法
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6683011B2 (en) 2001-11-14 2004-01-27 Regents Of The University Of Minnesota Process for forming hafnium oxide films
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6918960B2 (en) * 2001-11-28 2005-07-19 Micron Technology, Inc. CVD of PtRh with good adhesion and morphology
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
CN100349314C (zh) * 2002-01-03 2007-11-14 尼电源系统公司 其上具有共形导电层的多孔燃料电池电极结构
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7535066B2 (en) * 2002-01-23 2009-05-19 Texas Instruments Incorporated Gate structure and method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
AU2003228402A1 (en) * 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
KR100476556B1 (ko) * 2002-04-11 2005-03-18 삼성전기주식회사 압전트랜스 장치, 압전트랜스 하우징 및 그 제조방법
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
DE10392519T5 (de) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
AU2003214624A1 (en) * 2002-04-25 2003-11-10 Kashya Israel Ltd. An apparatus for continuous compression of large volumes of data
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
KR100505043B1 (ko) * 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) * 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7183604B2 (en) * 2002-06-10 2007-02-27 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant device
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7154140B2 (en) * 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US6970370B2 (en) * 2002-06-21 2005-11-29 Micron Technology, Inc. Ferroelectric write once read only memory for archival storage
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7193893B2 (en) * 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7847344B2 (en) * 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) * 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
WO2004010469A2 (en) * 2002-07-18 2004-01-29 Aviza Technology, Inc. Atomic layer deposition of multi-metallic precursors
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
JP2005536055A (ja) * 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
TW200408323A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
JP2005536071A (ja) * 2002-08-21 2005-11-24 オヴォニクス,インコーポレイテッド プログラム可能デバイスに原子層堆積法を利用する方法及び装置
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
AU2003282836A1 (en) * 2002-10-15 2004-05-04 Rensselaer Polytechnic Institute Atomic layer deposition of noble metals
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040099889A1 (en) * 2002-11-27 2004-05-27 Agere Systems, Inc. Process for fabricating a semiconductor device having an insulating layer formed over a semiconductor substrate
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
JP4179539B2 (ja) * 2003-01-15 2008-11-12 富士通株式会社 化合物半導体装置及びその製造方法
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040168627A1 (en) * 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7115528B2 (en) * 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
EP1629543B1 (en) * 2003-05-16 2013-08-07 E.I. Du Pont De Nemours And Company Barrier films for flexible polymer substrates fabricated by atomic layer deposition
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7192824B2 (en) * 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050045092A1 (en) * 2003-09-03 2005-03-03 Taiwan Semiconductor Manufacturing Co. Method of multi-element compound deposition by atomic layer deposition for IC barrier layer applications
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7306956B2 (en) * 2003-09-30 2007-12-11 Intel Corporation Variable temperature and dose atomic layer deposition
DE10345499A1 (de) * 2003-09-30 2005-04-28 Epcos Ag Piezoelektrisches Keramikmaterial, Vielschichtbauelement und Verfahren zur Herstellung des Keramikmaterials
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
KR100519800B1 (ko) * 2004-01-13 2005-10-10 삼성전자주식회사 란타늄 산화막의 제조방법 및 이를 이용한 모스 전계효과트랜지스터 및 캐패시터의 제조방법
US7285312B2 (en) * 2004-01-16 2007-10-23 Honeywell International, Inc. Atomic layer deposition for turbine components
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20070026621A1 (en) * 2004-06-25 2007-02-01 Hag-Ju Cho Non-volatile semiconductor devices and methods of manufacturing the same
US20070059929A1 (en) * 2004-06-25 2007-03-15 Hag-Ju Cho Method of forming a tantalum carbon nitride layer and method of manufacturing a semiconductor device using the same
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) * 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US8060713B1 (en) 2005-12-21 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Consolidating snapshots in a continuous data protection system using journaling
US7849361B2 (en) * 2005-12-22 2010-12-07 Emc Corporation Methods and apparatus for multiple point in time data access
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US8119032B2 (en) * 2006-02-07 2012-02-21 President And Fellows Of Harvard College Gas-phase functionalization of surfaces including carbon-based surfaces
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP2021533A4 (en) * 2006-05-15 2010-01-06 Arise Technologies Corp LOW TEMPERATURE DOPING PROCESS FOR SILICON GALETTE DEVICE
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP2009544842A (ja) * 2006-07-21 2009-12-17 リンデ・インコーポレーテッド 原子層堆積用の前駆体溶液を気化及び供給するための方法及び装置
US7582549B2 (en) * 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US7576598B2 (en) * 2006-09-25 2009-08-18 Analog Devices, Inc. Bandgap voltage reference and method for providing same
WO2008039845A2 (en) * 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7851360B2 (en) * 2007-02-14 2010-12-14 Intel Corporation Organometallic precursors for seed/barrier processes and methods thereof
US7858525B2 (en) * 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
WO2008140979A1 (en) * 2007-05-09 2008-11-20 Intermolecular, Inc. Resistive-switching nonvolatile memory elements
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7759237B2 (en) 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
TWI385820B (zh) * 2007-08-24 2013-02-11 Sino American Silicon Prod Inc 半導體發光元件及其製造方法
US8221852B2 (en) 2007-09-14 2012-07-17 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using titanium-based precursors
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8041940B1 (en) 2007-12-26 2011-10-18 Emc Corporation Offloading encryption processing in a storage area network
US7860836B1 (en) 2007-12-26 2010-12-28 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to recover data in a continuous data protection environment using a journal
US7840536B1 (en) 2007-12-26 2010-11-23 Emc (Benelux) B.V., S.A.R.L. Methods and apparatus for dynamic journal expansion
US7958372B1 (en) 2007-12-26 2011-06-07 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to convert a logical unit from a first encryption state to a second encryption state using a journal in a continuous data protection environment
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US9501542B1 (en) 2008-03-11 2016-11-22 Emc Corporation Methods and apparatus for volume synchronization
US7932176B2 (en) * 2008-03-21 2011-04-26 President And Fellows Of Harvard College Self-aligned barrier layers for interconnects
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8108634B1 (en) 2008-06-27 2012-01-31 Emc B.V., S.A.R.L. Replicating a thin logical unit
US7719443B1 (en) 2008-06-27 2010-05-18 Emc Corporation Compressing data in a continuous data protection environment
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US7882286B1 (en) 2008-09-26 2011-02-01 EMC (Benelux)B.V., S.A.R.L. Synchronizing volumes for replication
US8060714B1 (en) 2008-09-26 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Initializing volumes in a replication system
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8392680B1 (en) 2010-03-30 2013-03-05 Emc International Company Accessing a volume in a distributed environment
US8478955B1 (en) 2010-09-27 2013-07-02 Emc International Company Virtualized consistency group using more than one data protection appliance
US8433869B1 (en) 2010-09-27 2013-04-30 Emc International Company Virtualized consistency group using an enhanced splitter
US8694700B1 (en) 2010-09-29 2014-04-08 Emc Corporation Using I/O track information for continuous push with splitter for storage device
US8335771B1 (en) 2010-09-29 2012-12-18 Emc Corporation Storage array snapshots for logged access replication in a continuous data protection system
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8335761B1 (en) 2010-12-02 2012-12-18 Emc International Company Replicating in a multi-copy environment
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9256605B1 (en) 2011-08-03 2016-02-09 Emc Corporation Reading and writing to an unexposed device
US8898112B1 (en) 2011-09-07 2014-11-25 Emc Corporation Write signature command
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9223659B1 (en) 2012-06-28 2015-12-29 Emc International Company Generating and accessing a virtual volume snapshot in a continuous data protection system
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8853069B2 (en) 2012-09-10 2014-10-07 Globalfoundries Inc. Field effect transistor and method of fabrication
US9336094B1 (en) 2012-09-13 2016-05-10 Emc International Company Scaleout replication of an application
US10235145B1 (en) 2012-09-13 2019-03-19 Emc International Company Distributed scale-out replication
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
JP6017396B2 (ja) 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8996460B1 (en) 2013-03-14 2015-03-31 Emc Corporation Accessing an image in a continuous data protection using deduplication-based storage
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9696939B1 (en) 2013-03-14 2017-07-04 EMC IP Holding Company LLC Replicating data using deduplication-based arrays using network-based replication
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9383937B1 (en) 2013-03-14 2016-07-05 Emc Corporation Journal tiering in a continuous data protection system using deduplication-based storage
US9110914B1 (en) 2013-03-14 2015-08-18 Emc Corporation Continuous data protection using deduplication-based storage
US9081842B1 (en) 2013-03-15 2015-07-14 Emc Corporation Synchronous and asymmetric asynchronous active-active-active data access
US9152339B1 (en) 2013-03-15 2015-10-06 Emc Corporation Synchronization of asymmetric active-active, asynchronously-protected storage
US9244997B1 (en) 2013-03-15 2016-01-26 Emc Corporation Asymmetric active-active access of asynchronously-protected data storage
US9069709B1 (en) 2013-06-24 2015-06-30 Emc International Company Dynamic granularity in data replication
US9087112B1 (en) 2013-06-24 2015-07-21 Emc International Company Consistency across snapshot shipping and continuous replication
US9146878B1 (en) 2013-06-25 2015-09-29 Emc Corporation Storage recovery from total cache loss using journal-based replication
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9367260B1 (en) 2013-12-13 2016-06-14 Emc Corporation Dynamic replication system
US9405765B1 (en) 2013-12-17 2016-08-02 Emc Corporation Replication of virtual machines
US9158630B1 (en) 2013-12-19 2015-10-13 Emc Corporation Testing integrity of replicated storage
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9189339B1 (en) 2014-03-28 2015-11-17 Emc Corporation Replication of a virtual distributed volume with virtual machine granualarity
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9274718B1 (en) 2014-06-20 2016-03-01 Emc Corporation Migration in replication system
US10082980B1 (en) 2014-06-20 2018-09-25 EMC IP Holding Company LLC Migration of snapshot in replication system using a log
US9619543B1 (en) 2014-06-23 2017-04-11 EMC IP Holding Company LLC Replicating in virtual desktop infrastructure
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10437783B1 (en) 2014-09-25 2019-10-08 EMC IP Holding Company LLC Recover storage array using remote deduplication device
US10101943B1 (en) 2014-09-25 2018-10-16 EMC IP Holding Company LLC Realigning data in replication system
US10324798B1 (en) 2014-09-25 2019-06-18 EMC IP Holding Company LLC Restoring active areas of a logical unit
US9910621B1 (en) 2014-09-29 2018-03-06 EMC IP Holding Company LLC Backlogging I/O metadata utilizing counters to monitor write acknowledgements and no acknowledgements
US9529885B1 (en) 2014-09-29 2016-12-27 EMC IP Holding Company LLC Maintaining consistent point-in-time in asynchronous replication during virtual machine relocation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11426717B2 (en) * 2014-11-17 2022-08-30 The Regents Of The University Of Colorado, A Body Corporate Catalyst, structures, reactors, and methods of forming same
US10496487B1 (en) 2014-12-03 2019-12-03 EMC IP Holding Company LLC Storing snapshot changes with snapshots
US9600377B1 (en) 2014-12-03 2017-03-21 EMC IP Holding Company LLC Providing data protection using point-in-time images from multiple types of storage devices
US9405481B1 (en) 2014-12-17 2016-08-02 Emc Corporation Replicating using volume multiplexing with consistency group file
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9632881B1 (en) 2015-03-24 2017-04-25 EMC IP Holding Company LLC Replication of a virtual distributed volume
US10296419B1 (en) 2015-03-27 2019-05-21 EMC IP Holding Company LLC Accessing a virtual device using a kernel
US9411535B1 (en) 2015-03-27 2016-08-09 Emc Corporation Accessing multiple virtual devices
US9678680B1 (en) 2015-03-30 2017-06-13 EMC IP Holding Company LLC Forming a protection domain in a storage architecture
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10853181B1 (en) 2015-06-29 2020-12-01 EMC IP Holding Company LLC Backing up volumes using fragment files
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102350589B1 (ko) 2015-08-24 2022-01-14 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9684576B1 (en) 2015-12-21 2017-06-20 EMC IP Holding Company LLC Replication using a virtual distributed volume
US10067837B1 (en) 2015-12-28 2018-09-04 EMC IP Holding Company LLC Continuous data protection with cloud resources
US10235196B1 (en) 2015-12-28 2019-03-19 EMC IP Holding Company LLC Virtual machine joining or separating
US10133874B1 (en) 2015-12-28 2018-11-20 EMC IP Holding Company LLC Performing snapshot replication on a storage system not configured to support snapshot replication
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10152267B1 (en) 2016-03-30 2018-12-11 Emc Corporation Replication data pull
US10579282B1 (en) 2016-03-30 2020-03-03 EMC IP Holding Company LLC Distributed copy in multi-copy replication where offset and size of I/O requests to replication site is half offset and size of I/O request to production volume
US10235087B1 (en) 2016-03-30 2019-03-19 EMC IP Holding Company LLC Distributing journal data over multiple journals
US10235060B1 (en) 2016-04-14 2019-03-19 EMC IP Holding Company, LLC Multilevel snapshot replication for hot and cold regions of a storage system
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10461026B2 (en) 2016-06-30 2019-10-29 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10235091B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Full sweep disk synchronization in a storage system
US10019194B1 (en) 2016-09-23 2018-07-10 EMC IP Holding Company LLC Eventually consistent synchronous data replication in a storage system
US10235090B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Validating replication copy consistency using a hash function in a storage system
US10146961B1 (en) 2016-09-23 2018-12-04 EMC IP Holding Company LLC Encrypting replication journals in a storage system
US10210073B1 (en) 2016-09-23 2019-02-19 EMC IP Holding Company, LLC Real time debugging of production replicated data with data obfuscation in a storage system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) * 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11380523B2 (en) 2019-02-14 2022-07-05 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPH0727861B2 (ja) 1987-03-27 1995-03-29 富士通株式会社 ▲iii▼−▲v▼族化合物半導体結晶の成長方法
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101687896B (zh) * 2007-04-09 2013-03-27 哈佛学院院长等 用于铜互连的氮化钴层及它们的形成方法
US8461684B2 (en) 2007-04-09 2013-06-11 President And Fellows Of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them

Also Published As

Publication number Publication date
CN1260403C (zh) 2006-06-21
US6203613B1 (en) 2001-03-20
TW477829B (en) 2002-03-01

Similar Documents

Publication Publication Date Title
CN1260403C (zh) 利用含硝酸盐的前体淀积原子层
US10811249B2 (en) Atomic layer deposition of GeO2
US8481122B2 (en) Methods of forming material over substrates
US7112544B2 (en) Method of atomic layer deposition on plural semiconductor substrates simultaneously
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
CN1292431A (zh) 利用原子层沉积法形成薄膜的方法
TWI276700B (en) Atomic layer deposition of nanolaminate film
US20060153995A1 (en) Method for fabricating a dielectric stack
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
CN1926668A (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
JP2008174842A (ja) 原子層蒸着法を用いた薄膜形成方法
US11769664B2 (en) Methods for depositing a hafnium lanthanum oxide film on a substrate by a cyclical deposition process in a reaction chamber
JP4007044B2 (ja) 原子層蒸着法を用いた薄膜形成方法
CN102082171A (zh) 半导体器件的电极以及制造电容器的方法
JP4735601B2 (ja) 原子層蒸着法を用いた薄膜形成方法
KR100920402B1 (ko) 저온 게이트 스택
US20060024441A1 (en) Method of forming metal oxide and semimetal oxide
JP2006032596A (ja) ゲート絶縁膜の作製方法
KR20240013219A (ko) 비정질 실리콘-기반 스캐빈징 및 밀봉 eot
EP1425785A2 (en) Method of fabricating a gate stack at low temperature

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060621

Termination date: 20111016