CN1233856A - 引入了金属籽晶层的铜互连结构 - Google Patents

引入了金属籽晶层的铜互连结构 Download PDF

Info

Publication number
CN1233856A
CN1233856A CN99105191A CN99105191A CN1233856A CN 1233856 A CN1233856 A CN 1233856A CN 99105191 A CN99105191 A CN 99105191A CN 99105191 A CN99105191 A CN 99105191A CN 1233856 A CN1233856 A CN 1233856A
Authority
CN
China
Prior art keywords
copper
crystal layer
inculating crystal
copper alloy
interconnection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99105191A
Other languages
English (en)
Other versions
CN1150619C (zh
Inventor
D·C·埃德尔斯坦
J·M·E·哈珀
A·H·西蒙
C·E·乌祖赫
胡朝坤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1233856A publication Critical patent/CN1233856A/zh
Application granted granted Critical
Publication of CN1150619C publication Critical patent/CN1150619C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明公开了一种用于提供与电子器件电联耦合的互连结构,该互连结构包括基本上由铜构成的主体和或者是铜合金或者是不含铜的金属构成的籽晶层,所说籽晶层夹在铜导电体和电子器件之间,用于改善互连结构的抗电迁移性、粘附性和其它表面特性。本发明还公开了形成用于提供与电子器件的电连接的互连结构的方法,包括以下步骤:首先在电子器件上淀积铜合金或不含铜的其它金属构成的籽晶层,然后在籽晶层上与之紧密键合地形成铜导电主体,以便改善互连结构的抗电迁移性、粘附性和其它表面特性。

Description

引入了金属籽晶层的铜互连结构
本发明涉及一种提供与电子器件的电耦合的互连结构,及制造这种结构的方法,特别涉及一种提供与电子器件的电连接的互连结构,通过引入夹在铜导电主体和电子器件间的铜合金籽晶层,从而改善互连结构的抗电迁移性、粘附性和表面特性。
制造为半导体芯片结构、平板显示器、及封装应用中的通孔、连线、或其它凹槽提供互连的技术已开发出许多年了。例如,在开发用于超大规模集成(VLSI)结构的互连技术中,采用了铝作为位于单个衬底上的各半导体区或各器件的接触和互连的主要金属来源。由于铝的低成本、良好欧姆接触、及高导电性,选择了铝材料。然而,纯铝薄膜导体具有所不希望的特性,如限制了其用于低温处理的低熔点,导致接触和结失效的退火期间向硅中的可能扩散,及电迁移。因而,已研究了多种优于纯铝的铝合金。例如,美国专利4566177公开了一种含高达3wt%的硅、铜、镍、铬和镁以提高抗电迁移性的铝合金导电层。美国专利3631304公开了一种具有也用于提高抗电迁移性的氧化铝的铝合金。
近年来开发的ULSI技术由于这些器件的极高电路密度和更快的工作速度,对于布线需要有更严格的要求。这导致了在日益变小的导电连线中更高的电流密度。结果,需要有更高导电性的布线,而该布线对于铝合金导体来说要求有较大截面的金属线,或者要求具有较高导电性的不同布线材料。显然工业上的选择是利用具有期望的高导电性的纯铜开发后者。
在形成如通孔和连线等ULSI互连结构的过程中,铜可以淀积到这些凹槽中,从而互连位于同一衬底上的各半导体区或各器件。然而,已知由于铜的低抗电迁移性,其在半导体器件的结中存在问题。在电场叠加于金属化固体中的随机热扩散上引起了离子在电子流方向的净漂移时,会发生电迁移现象。任何铜离子扩散到硅衬底中都会导致器件失效。此外,纯铜与如二氧化硅和聚酰亚胺等含氧介质不能很好粘附。为了在互连技术中充分利用铜,还必须提高铜的粘附性。
转让给本发明的共同受让人的美国专利5130274公开了利用含原子百分比少于2%的合金元素的铜合金的方法,首先在互连结构的凹槽中淀积合金,然后,形成铜合金栓塞,并在铜栓塞的暴露表面上形成合金元素的氧化物薄层。然而,该技术仍不能满足对于薄膜芯片互连来说是严重问题的其临界尺寸小于0.5微米的ULSI结构的严格要求。在深亚微米逻辑电路布线结构中采用标准Al(Cu)合金和二氧化硅介质导致了由布线互连引起的大电路延迟。
其它人已试图采用Cu作ULSI布线结构中的Al(Cu)的替代材料提高芯片的速度。然而,在Cu互连中仍存在许多问题,如Cu易于侵蚀,铜在薄膜中有很快的表面扩散速率。已知纯Cu具有比Al(Cu)的电迁移激活能0.8-0.9eV小的电迁移激活能,即0.5-0.8eV。于是,采用Cu减小芯片工作条件下的互连电迁移失效是很好的折衷方案。
图1中展示了利用由铜合金制造的常规互连的电子结构的放大剖面示意图。电子结构10包括在预先制造的器件20上利用镶嵌工艺的两级铜互连12、16和一个间柱级14,例示了铜布线结构。器件20建立在半导体衬底24上。如图1所示,首先利用平面介质叠层26制造典型的镶嵌级。然后,利用标准的光刻和干法腐蚀技术构图并腐蚀介质叠层26,形成所要求的布线或通孔图形。然后,是薄粘附/扩散衬里18和铜合金金属12的金属淀积,其中底部氮化硅层28用作扩散阻挡层,其预先淀积在器件20的上部,以防止铜扩散。形成了铜合金互连12后,淀积上部氮化硅层32,作为限定下一级铜互连14的腐蚀停止层。淀积了第二级介质叠层34后,在介质层34和氮化硅层32中腐蚀用于互连的凹槽。
然后,利用与淀积第一级铜合金互连12类似的技术,淀积具有衬里22的层间铜合金间柱14。可以用不同的金属淀积技术填充该沟槽或通孔。这些技术包括准直溅射工艺、离子簇束工艺、电子回旋共振工艺、化学汽相淀积工艺、无电镀敷工艺和电解镀敷工艺。在形成铜合金层时,还可以用如共淀积方法等其它技术,其中铜和合金元素一同淀积。例如,共淀积法包括共溅射、共镀敷、共化学汽相淀积和共蒸发。完成了层间铜合金间柱14后,重复另一类似的工艺,在第三级介质叠层38中形成带有衬里24的第二级铜互连16。该间柱和第二级互连间采用氮化硅作腐蚀停止层36。最后,在铜布线结构10的上部淀积上部氮化硅层42,用于保护器件免受环境影响。
另外一些人试图用铜合金提供增强的抗电迁移能力。例如,美国专利5023698教导了至少含选自Al、Be、Cr、Fe、Mg、Ni、Si、Sn、和Zn中一种合金元素的铜合金。美国专利5077005教导了至少含选自In、Cd、Sb、Bi、Ti、Ag、Sn、Pb、Zr和Hf中一种的铜箔,其中合金元素的重量百分比为0.0003-0.01。这些铜合金用于TAB工艺和用作印刷电路板部件。美国专利5004520教导了用于膜载体应用的铜合金,其中至少含选自P、Al、Cd、Fe、Mg、Ni、Sn、Ag、Hf、Zn、B、As、Co、In、Mn、Si、Te、Cr、和Zn中的一种合金元素,合金元素的浓度为0.03-0.5wt%。这些合金用作集成电路芯片安装中的连接引线。另外,美国专利4749548教导了至少含选自Cr、Zr、Li、P、Mg、Si、Al、Zn、Mn、Ni、Sn、Ti、Be、Fe、Co、Y、Ce、La、Nb、W、V、Ta、B、Hf、Mo和C中一种合金元素的铜合金。这些合金元素用于提高铜合金的强度。美国专利5243222和5130274教导了用于改善扩散阻挡层的粘附性和形成的铜合金。然而,这些现有技术中没有一种教导很好地改善了用于ULSI芯片上或芯片下布线互连,以满足抗电迁移性和粘附性的要求的铜合金。USLI器件的互连结构必须在宽度远小于0.5微米、高宽比大于1的绝缘体结构中提供致密、完全连续的金属布线。
因此,本发明的一个目的是提供一种铜合金互连结构,不存在常规铜互连结构的缺点和问题。
本发明另一目的是提供一种铜合金互连结构,具有改善了的抗电迁移性、粘附性和其它表面特性。
本发明再一目的是提供一种铜合金互连结构,在铜合金互连体和与之相连的电子器件之间的界面处使用了籽晶层。
本发明又一目的是提供一种铜合金互连结构,其中引入了夹在铜导电主体和该互连与之相连的电子器件之间的铜合金籽晶层。
本发明又再一目的是提供一种铜合金互连结构,其中在形成铜导电主体之前淀积铜合金耔晶层,所说铜合金籽晶层中至少含有Sn、In、C、Ti、Zr、N、O、Cl、或S中的一种元素,用于提高互连结构的抗电迁移性。
本发明还一目的是提供一种铜合金互连结构,其中在形成铜导电主体之前淀积铜合金籽晶层,所说铜合金籽晶层中至少含有选自Al、Mg、Be、Ca、Sr、Ba、Sc、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu中的一种元素。本发明又一目的是提供铜合金互连结构,其中利用至少含有B、N、P、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Ag、Au、Zn或Cd中的一种元素的铜合金籽晶层,以改善互连结构的表面特性。
本发明再一目的是提供一种铜合金互连系统,其中淀积夹在铜导电主体和电子器件之间由一种金属构成的金属籽晶层,所说金属选自Ag、Mo、W或Co,以改善铜导电主体的淀积工艺。
本发明还一目的是提供一种形成互连结构的方法,其中,首先在电子器件上淀积铜合金籽晶层,然后在籽晶层上形成铜导电主体,以便改善互连结构的抗电迁移性、抗侵蚀性和粘附性,其中籽晶层包括铜和至少选自Sn、In、Zr、Ti、C、O、N、Cl和S的一种元素。
根据本发明,利用夹在铜导电主体和电子器件间的附加铜合金籽晶层,提供具有改进的抗电迁移性、粘附性和其它表面特性的铜合金互连结构。
在一个优选实施例中,提供一种与电子器件电耦合的互连结构,该结构包括一个主体,该主体基本上由铜和夹在主体和电子器件之间并与它们紧密接触的铜合金籽晶层构成,用于改善互连结构的抗电迁移性。铜合金耔晶层由铜和Sn、In、Zr、Ti、C、O、N、Cl或S中的至少一种元素构成。
在另一优选实施例中,提供一种建立与电子器件电连接的互连结构,该结构包括铜导电主体和铜合金籽晶层,所说铜合金籽晶层夹在铜导电主体与形成于电子器件上的扩散阻挡层之间,且与它们紧密接触,用于改善与下层扩散阻挡层的粘附性,铜合金籽晶层由铜和至少选自Al、Mg、Be、Ca、Sr、Ba、Sc、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Si和Ge中的一种元素构成。
在本发明再一优选实施例中,提供一种建立与电子器件的电连接的互连系统,其包括铜导电主体和铜合金籽晶层,所说铜合金籽晶层夹在铜导电主体与电子器件之间,且与它们紧密接触,用于改善电子器件的表面特性,铜合金籽晶层由铜和至少选自B、O、N、P、Fe、Ru、Os、Co、Rh、Ir中的一种元素构成,提供一种建立与电子器件的电耦合的连接系统,其包括铜导电主体和铜合金籽晶层,所说铜合金籽晶层夹在铜导电主体与电子器件之间,且与它们紧密接触,用于改善铜导电主体淀积工艺,金属籽晶层由在铜中的溶解度低到基本上不形成铜化合物的金属淀积。一般情况下,该金属具有与铜的电阻率基本上类似的电阻率。该金属籽晶层的合适金属是Ag、Mo、W、或Co。
本发明还提供一种形成用于提供与电子器件的电连接的互连结构的方法,该方法包括以下步骤:首先,在电子器件上淀积铜合金籽晶层,然后在铜合金籽晶层上形成与该层紧密键合的铜导电主体,以便改善互连结构的抗电迁移性。
本发明还提供一种形成用于提供与电子器件的电耦合的互连结构的方法,所说结构具有改善的与器件的粘附性,该方法包括以下步骤:首先,在电子器件上淀积铜合金籽晶层,该铜合金籽晶层由铜和至少Al、Mg、Be、Ca、Sr、Ba、Sc、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Si或Ge中的一种元素构成;然后,形成与铜合金耔晶层紧密接触的铜导电主体。
本发明还提供一种形成用于提供与电子器件的电耦合的导体的方法,该方法包括以下步骤:首先,在电子器件上淀积铜合金籽晶层,该铜合金籽晶层由铜和至少B、O、N、P、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Ag、Au、Zn、或Cd中的一种元素构成;然后,在铜合金籽晶层上与之紧密接触地形成导体。所说导体由铜和约0.01-约10wt%的至少C、N、O、Cl或S中的一种合金元素构成。
本发明还提供一种形成用于提供与电子器件的电耦合的导体的方法,该方法包括以下步骤:首先,在电子器件上淀积金属籽晶层,该金属籽晶层由具有在铜中的溶解度和亲和性低到不可能形成铜化合物的金属淀积;然后,在该金属耔晶层上与之紧密接触地形成铜导电主体。该金属籽晶层可由选自Ag、Mo、W、或Co中的一种金属淀积。
从以下的具体说明和各附图中可以更清楚本发明的这些和其它目的、特点和优点,其中:
图1是采用铜合金的常规互连系统的放大剖面图。
图2是具有建立于其中的本发明互连系统的电子结构的放大透视图。
图3A是用于形成本发明的互连系统的开口的放大剖面图,所说开口中淀积有扩散阻挡层。
图3B是用于形成图3A的本发明互连系统的开口的放大剖面图,所说开口中淀积有铜合金籽晶层。
图3C是用于形成图3B的本发明互连系统的开口的放大剖面图,所说开口中淀积有铜导体材料。
图3D是用于形成图3C的本发明的互连系统的放大剖面图,所说系统具有去掉的过量铜。
图4A是用于形成双镶嵌结构的本发明互连系统的开口的放大剖面图,所说开口中淀积有扩散阻挡层。
图4B是用于形成图4A的本发明互连系统的开口的放大剖面图,所说开口中在扩散阻挡层上淀积有铜合金耔晶层。
图4C是用于形成图4B的本发明互连系统的开口的放大剖面图,所说开口中淀积有铜合金。
图4D是用于形成图4C的本发明的互连系统的放大剖面图,所说系统中去掉了过量铜。
本发明提供一种建立与电子器件的电耦合的新颖互连结构,其中利用铜导电主体和夹在该导电主体和电子器件间的铜合金籽晶层,以改善抗电迁移性、粘附性和其它表面特性。本发明还公开了用于建立与电子器件的电连接的互连结构,其中利用铜导电主体和夹在该导电主体和电子器件间的金属籽晶层,用于改善铜导电主体淀积工艺,所说金属籽晶层由Ag、Mo、W、或Co的材料淀积。
本发明还公开了一种形成提供与电子器件间的电耦合的互连结构的新颖方法,所说结构具有改善的与器件的粘附性,所说方法包括在籽晶层之上形成铜导电主体之前首先在电子器件上淀积铜合金籽晶层。籽晶层可以由铜和至少选自Al、Mg、Be、Ca、Sr、Ba、Sc、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Si和Ge中的一种元素构成。提供一种形成具有改善的抗电迁移性的互连结构的类似方法,其中利用由铜和至少Sn、In、Zr、Ti、C、O、N、Cl、或S中的一种合金元素淀积的铜合金籽晶层。提供另一种在电子器件上形成具有改善的表面特性、提供与电子器件的电耦合的导体的类似方法,其中利用由铜和至少B、O、N、P、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Ag、Au、Zn、或Cd中的一种元素构成的铜合金籽晶层。利用不含铜的籽晶层,即由具有在铜中的溶解度和与铜的亲和性低到不会形成铜化合物的金属,例如Ag、Mo、W、或Co淀积的金属籽晶层实施本发明形成提供电连接的导体的新颖方法。
参见图2,该图是本发明互连结构50的放大透视图。互连结构50建立在衬底52上,衬底52可以是包含电子器件的硅或其它半导体材料。带有W间柱和局部互连62的器件66建立在半导体衬底52上。布线级间的垂直连接由连接布线与器件接触64的Cu间柱结构60和W间柱结构62提供。所示器件66一般代表CMOS晶体管,但也可以是任何电子器件。
为防止铜扩散到绝缘体54或器件66,一般用扩散/粘附阻挡层包围铜46、60和56。扩散/粘附阻挡层可以是绝缘层70或导电层72。导电扩散阻挡层72还提供铜与底层材料的粘附,即使本文献中仅将它们简称作阻挡层。图2中还示出了一般淀积在主铜导体层46、60和56下的籽晶层76和78。下面结合制造互连结构的两种方法,即单镶嵌工艺和双镶嵌工艺介绍籽晶层的位置与作用。
图3A-3D示出了制造本发明新颖互连结构的单镶嵌工艺。图3A中,图示了连线或间柱46的结构。首先淀积和构图绝缘层100扩散/粘附阻挡层101。在氮化物腐蚀停止层101上淀积衬里层72。这是称为单镶嵌工艺的制造方法。接着,在阻挡层72上淀积籽晶层78,如图3B所示。由于对于实现不同特性的改善可以优选不同的材料,所以,此后给出用于籽晶层的材料和其淀积方法。
耔晶层78的作用是提供可以于其上淀积主导体层的基础。如图3C所示,其中主导体层82淀积在籽晶层78上。为了在单镶嵌工艺中完成布线步骤,要利用如化学机械抛光等方法平面化过量的铜,以去掉多余的上表面主导体82、籽晶层78和阻挡层72,而留下隔离的间柱或连线46。最后,如图3D所示,淀积绝缘阻挡层。对于下一级布线和/或间柱来说可以重复相同的过程,以建立多级互连结构。
制造本发明新颖互连系统的第二方法,一般称为双镶嵌工艺,例如按与图2所示相同的工艺步骤制造间柱和连线级,连线级56和间柱60。参见图4A,其中首先将阻挡层72淀积到组合连线/间柱开口84中。在工艺的下一步,在阻挡层72上淀积籽晶层76,如图4B所示。然后淀积主导体层90,以填充连线/间柱开口84。这一切如图4C所示。然后利用如化学机械抛光等技术进行平面化,完成连线56和间柱60一起的布线结构。应注意,在该双镶嵌工艺中,既可以用氮化硅腐蚀停止层70形成连线56和间柱60,也可省略该层。淀积最后的钝化层和氮化硅腐蚀停止层101,如图4D所示。
本发明新颖互连结构所用的籽晶层具有几个所需要的作用。例如,在主铜导体的化学汽相淀积工艺中,籽晶层可作为引起铜淀积的化学反应的开始。关于形成主铜导体的电镀工艺,要求籽晶层提供与供应电镀电流的电极的持续电连接。关于主铜导电主体的高温回流溅射或化学汽相淀积,要求一个薄层提供具有良好浸润性和核生长特性的表面。
主铜导电主体一般不由纯铜形成,而用铜与已显示出能提高铜导电主体的可靠性的如C、N、O、Cl、或S等中的一种合金元素的混合物形成,或由铜与还已显示出能提高可靠性的其它金属的合金形成。该合金中的上述合金元素可以是约0.001wt%-约10wt%。
用于淀积铜导电主体的本发明的新颖籽晶层可以由铜合金或不含铜的其它金属构成。通过合适地选择合金籽晶层组分,籽晶层可以具有高质量淀积的铜导电主体所需的特性,而不会使整个复合导体的可靠性下降。籽晶层的组分和结构不应该与主铜导电主体的组分和结构相同。例如,籽晶层可以是具有比主导体铜有更高电阻率的合金。而且,籽晶层合金甚至不含铜。在耔晶层所占的截面积占整个导体截面积很小比例时,整个连线电阻将由主导体的电阻率决定,所以不会因籽晶层而导致所不希望的增大。还应注意,本申请中的“金属合金”包括金属化合物以及固溶体或各金属相的两相混合物。
因此,可以选择本发明的新颖籽晶层,以提供改善的抗电迁移性、改善的与底层扩散阻挡层的粘附性、及适用于铜主导体淀积的改善的表面特性。已示出能够相对于纯铜改善抗电迁移性的铜合金的新组分包括Cu(Sn)、Cu(In)、Cu(Zr)、Cu(Ti)、和Cu(C,N,Cl,S)。本发明的新颖互连结构还利用铜合金作籽晶层,以相对纯铜来说改善粘附性,这些铜合金包括Cu(Al),Cu(Mg),Cu与如Be、Ca、Sr、Ba、Sc、Y、La等其它还原性金属的合金,铜与Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu等稀土系列元素的合金,铜与Hf、V、Mb、Ta、Cr、Mo、W、Mn、Re、Si和Ge的合金。
本发明的新颖互连结构还利用附加的合金元素以改善籽晶层的表面特性,这些合金元素包括B、O、N、P、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Ag、Au、Zn和Cd。已发现,具有适于铜主导体的淀积的表面的铜合金包括不形成过量表面氧化物的那些。因此,可由铜与一种或更多种上述合金元素一起形成合金籽晶层,以得到最佳抗电迁移性、粘附性和表面特性的组合。本发明的典型例子包括带有0.25-1.5原子%的Sn、或In的铜合金。Cu(Sn)或Cu(In)的电迁移寿命远大于纯铜。还可以使Cu、Sn或In互扩散,并且可以在300-450℃温度下在Cu表面累积Sn或In。
按本发明新颖互连结构的第二优选实施例,有利的是可以用不含有铜的金属合金籽晶层。金属合金籽晶层的特性必须满足引晶主铜淀积工艺,而不引起形成任何会提高主铜导电主体的电阻率的污染物或化合物的要求。具体的例子是Ag,Ag在Cu中具有低溶解度,不会形成Cu化合物。另外,Ag有可与主铜导电主体相比的低电阻率。有利的是,本发明第二优选实施例可以用在Cu中具有低溶解度且不会形成Cu化合物的其它金属或某些金属的合金,它们包括Mo、W和Co。
按本发明新颖互连结构的第三优选实施例,还可以利用与阻挡层相同的籽晶层。该籽晶层的特性必须满足具有足够粘附性和扩散阻挡效果加上提供一般分离籽晶层的引晶特性的要求。
按本发明新颖互连结构的再一优选实施例,阻挡层和籽晶层可以构成为使它们的特性从一个界面到另一个界面逐渐变化。例如,通过如包括反应性金属组分,其组成和结构使底部界面的粘附性最佳。在阻挡层/籽晶层的中间,通过例如包括非晶微观结构的难熔金属的氮化物,其组成和结构使扩散阻挡效果最佳。在阻挡层/籽晶层的上表面,通过例如包括铜或银,其组成和结构使主铜导电主体的引晶性和粘附性最佳。其可以通过各层的顺序淀积或在一个淀积工艺中渐变组成结构的淀积获得。
本发明的有益的合金籽晶层可以用许多不同方法的制造。一般情况下,籽晶层淀积在底层阻挡层上,阻挡层可以包含如Ti、Nb、Mo、Ta、TaN、W、WN、TiN、TaSiN、WSiN、TiAlN、TiSiN等材料。籽晶层可以利用反应性或非反应性溅射由单合金靶或多重靶形成、利用使电离的物质朝向衬底的电离溅射形成、利用化学汽相淀积形成、利用蒸发或电化学方法形成。合金籽晶层还可以利用铜和合金元素的顺序淀积法淀积,然后可以通过适当的热处理使它们互扩散。
本发明籽晶层的合适厚度范围可以是从小于1nm即0.1nm的几个单层到在亚微米线宽时高达约100nm,或在较宽线宽时高达线宽的约20%。该厚度的优范围是约1nm和约100nm之间。
本发明新颖金属合金籽晶层的特定例子是含具有0.25-1.5原子%的Sn或In的铜合金籽晶层的结构。如图2和3A-3D所示,互连结构在下布线级可以具有其尺寸小于0.5微米线宽的主导体,在上布线级具有小于1-2微米的尺寸。层间绝缘体的厚度可以小于1微米或大于1微米,例如,一般为0.5-1.5微米。这些绝缘材料一般可以含Si和O,并且可以含F,可以是聚合材料,可以是多孔的。绝缘扩散阻挡层的厚度一般可以在10nm和100nm之间,一般可以含Si和N,或可以是聚合材料。导电扩散阻挡层的厚度可以为约10nm,或为几nm-100nm。其可以含Ta、Ti、W、Nb、Mo、Si、N、Cl、O,可以是非晶或多晶。例如,可以适当地采用TaN、TiN或TaSiN。
本发明的籽晶层可以是通过从合金靶溅射淀积的具有0.25-1.5原子%Sn的Cu合金。其厚度可以是约0.1nm-约100nm,更好是约1nm-约100nm。可以化学汽相淀积或电化学方法淀积主铜导电主体,主铜导电主体可以具有约0.2微米-1.5微米的总体厚度。利用化学机械抛光平面化后,可以去掉过量的铜、籽晶层和扩散层/粘附层。除布线级和间柱级在一个工艺步骤中完成外,阻挡层、籽晶层和主铜导体材料的双镶嵌工艺制造的顺序基本上与单镶嵌工艺相同。
应该注意,尽管按以上例子说明了本发明,但有利的是铜互连的合金籽晶层可用于任何先进的芯片应用的半导体结构或显示应用。
尽管以例示的方式介绍了本发明,但应该理解,所用术语是解释性的,尽管已用几个优选实施例介绍了本发明,但可以理解,所属领域的技术人员可以容易地将这些教导应用于对本发明的其它可能改变中。

Claims (60)

1·一种用于提供与电子器件的电连接的互连结构,包括:
基本上由铜形成的主体;及
夹在所说主体和所说电子器件之间且与它们紧密接触的铜合金籽晶层,用于改善所说互连结构的抗电迁移性。
2·根据权利要求1的互连结构,其中所说铜合金籽晶层包括铜和至少选自Sn、In、Zr、Ti、C、N、O、Cl、和S中的一种元素。
3·根据权利要求1的互连结构,其中所说铜合金籽晶层的厚度为约0.1nm-约100nm。
4·根据权利要求1的互连结构,其中所说铜合金籽晶层的厚度最好为约1nm-约100nm。
5·根据权利要求1的互连结构,还包括其上淀积有铜合金籽晶层的扩散阻挡层。
6·根据权利要求5的互连结构,其中所说扩散阻挡层由选自Ta、TaN、W、TaSiN、TiN、WN、WSiN、TiAlN和TiSiN中的一种材料淀积。
7·根据权利要求5的互连结构,其中利用选自溅射、电离溅射、化学汽相淀积、蒸发和电化学法中的一种技术在所说阻挡层上淀积所说铜合金籽晶层。
8·根据权利要求1的互连结构,其中基本上由铜构成的所说主体是由铜和重量百分比为约0.001%-10%的选自C、N、Cl、O和S中的至少一种合金元素形成。
9·根据权利要求1的互连结构,其中所说铜合金籽晶层由金属化合物、金属固溶体或金属相的两相混合物构成的。
10·根据权利要求1的互连结构,其中所说铜合金籽晶层是约0.25-1.5原子%的Sn或In的铜。
11·根据权利要求1的互连结构,其中所说结构用于芯片上或芯片外(off-chip)。
12·根据权利要求1的互连结构,其中所说结构是选自通孔、连线、间柱和用于TAB、BGA或PGA的布线引线中的一种部件。
13·根据权利要求1的互连结构,其中所说结构是具有2-10个布线级的多级结构。
14·根据权利要求1的互连结构,其中所说结构建立在预先淀积的金属硅化物或W间柱和W局部互连层上。
15·一种用于提供与电子器件的电连接的互连结构,包括:
铜导电主体,及
夹在所说铜导电主体和形成于所说电子器件上的扩散阻挡层之间且与它们紧密接触的铜合金籽晶层,用于改善与所说底层扩散阻挡层的粘附性,所说铜合金籽晶层包括铜和选自Al、Mg、Be、Ca、Sr、Ba、Sc、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Pb、Dy、Ho、Er、Tm、Yb、Lu、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Si和Ge中的至少一种元素。
16·根据权利要求15的互连结构,其中所说铜合金籽晶层的电阻率大于铜导电主体的电阻率。
17·根据权利要求15的互连结构,其中所说铜合金籽晶层的厚度为约0.1nm-约100nm。
18·根据权利要求15的互连结构,其中基本上由铜构成的所说铜导电主体,由铜和重量百分比为约0.001%-约10%的选自C、N、Cl、和0中的至少一种合金元素形成。
19·根据权利要求15的互连结构,其中所说铜合金籽晶层由金属化合物、金属固溶体或金属相的两相混合物形成。
20·根据权利要求15的互连结构,其中所说结构是选自通孔、连线、间柱扣用于TAB、BGA或PGA的布线引线中的一种部件。
21·根据权利要求15的互连结构,其中所说结构是具有2-10个布线级的多级结构。
22·根据权利要求15的互连结构,其中所说结构建立在预先淀积的金属硅化物层上。
23·一种用于提供与电子器件的电连接的互连系统,包括:
铜导电主体,及
夹在所说铜导电主体和所说电子器件之间且与它们紧密接触的铜合金耔晶层,用于改善电子器件的表面特性,所说铜合金籽晶层包括铜和选自B、O、N、P、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Ag、Au、Zn和Cd中的至少一种元素。
24·根据权利要求23的互连系统,还包括夹在所说铜合金籽晶层和所说电子器件间的扩散阻挡层。
25·根据权利要求24的互连系统,其中所说扩散层由选自Ti、Ta、Wb、Mo、TaN、W、WN、TiN、TaSiN、WSiN、TiAlN和TiSiN中的一种材料淀积。
26·根据权利要求23的互连系统,其中所说铜合金籽晶层的厚度为约0.1nm-约100nm。
27·根据权利要求23的互连系统,其中所说结构是选自通孔、连线、间柱和用于TAB、BGA或PGA的布线引线中的一种部件。
28·根据权利要求23的互连系统,其中基本上由铜构成的所说铜导电主体由铜和重量百分比为约0.001%-约10%的选自C、N、O、Cl、和S中的至少一种合金元素形成。
29·根据权利要求23的互连系统,其中所说铜合金籽晶层是金属相的1a-相混合物。
30·一种用于提供与电子器件的电连接的互连系统,包括:
铜导电主体,及
夹在所说铜导电主体和所说电子器件之间且与它们紧密接触的金属籽晶层,用于改善铜导电主体淀积工艺,所说金属耔晶层由在铜中的溶解度小到基本上不能形成铜化合物的金属淀积。
31·根据权利要求30的互连系统,其中所说金属的电阻率基本上与铜的电阻率相同。
32·根据权利要求30的互连系统,其中所说金属选自Ag、Mo、W和Co。
33·根据权利要求30的互连系统,其中所说金属籽晶层的厚度为约0.1nm-约100nm。
34·根据权利要求30的互连系统,还包括其上淀积有金属籽晶层的扩散阻挡层。
35·根据权利要求30的互连系统,其中所说扩散阻挡层由选自Ti、Ta、Nb、Mo、TaN、W、WN、TiN、TaSiN、WSiN、TiAlN和TiSiN中的一种材料淀积。
36·根据权利要求30的互连系统,其中所说结构用于芯片上或芯片外。
37·根据权利要求30的互连结构,其中所说结构是选自通孔、连线、间柱和用于TAB、BGA或PGA的布线引线中的一种部件。
38·根据权利要求30的互连结构,其中所说结构是具有2-10个布线级的多级结构。
39·一种形成用于提供与电子器件的电连接的互连结构的方法,包括以下步骤:
在电子器件上淀积铜合金籽晶层,及
在所说铜合金籽晶层上与该层紧密键合地形成铜导电主体,以便改善所说互连结构的抗电迁移性。
40·根据权利要求39的方法,其中所说铜合金籽晶层包括铜和选自Sn、In、Zr、Ti、C、O、Cl、N和S中的至少一种元素。
41·根据权利要求39的方法,其中利用选自反应性或非反应性溅射、电离溅射、化学汽相淀积、蒸发和电化学方法中的一种技术淀积所说铜合金籽晶层。
42·根据权利要求39的方法,其中所说铜导电主体由铜和重量百分比为约0.001%-约10%的选自C、N、Cl、和O中的至少一种合金元素形成。
43·根据权利要求39的方法,其中所说铜合金籽晶层淀积到厚约0.1nm-100nm。
44·根据权利要求39的方法,还包括在所说铜合金籽晶层淀积步骤前在所说电子器件上淀积扩散阻挡层的步骤。
45·根据权利要求44的方法,其中所说扩散阻挡层由选自Ti、Ta、Nb、Mo、TaN、W、WN、TiN、TaSiN、WSiN、TiAlN和TiSiN中的一种材料淀积。
46·根据权利要求39的方法,其中所说互连结构由具有2-10个布线级的多级形成。
47·一种形成用于提供与电子器件的电耦合的互连结构的方法,所说互连结构具有与所说器件的改善的粘附性,该方法包括以下步骤:
在所说电子器件上淀积铜合金籽晶层,所说籽晶层包括铜和选自Al、Mg、Ca、Ca、Sr、Ba、Sc、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Si和Ge中的至少一种元素,及
在所说铜合金籽晶层上形成铜导电体。
48·根据权利要求47的方法,还包括在所说铜合金籽晶层淀积步骤前,在所说电子器件上淀积扩散阻挡层的步骤。
49·根据权利要求48的方法,其中所说扩散阻挡层由选自Ti、Ta、Nb、Mo、TaN、W、WN、TiN、TaSiN、WSiN、TiAlN和TiSiN中的一种材料淀积。
50·根据权利要求48的方法,其中利用选自溅射、电离溅射、化学汽相淀积、蒸发和电化学方法中的一种技术淀积所说铜合金籽晶层。
51·根据权利要求47的方法,其中所说铜导电主体由铜和重量百分比为约0.001%-约10%的选自C、N、Cl、O和S中的至少一种合金元素形成。
52·根据权利要求47的方法,其中所说铜合金籽晶层淀积到厚约0.1nm-100nm。
53·一种形成用于提供与电子器件的电耦合的导体的方法,包括以下步骤:
在所说电子器件上淀积铜合金籽晶层,及
在所说铜合金籽晶层上与之紧密接触地形成一导体,所说铜合金耔晶层由铜和重量百分比为约0.001%-约10%的选自C、N、Cl、O、和S中的至少一种合金元素构成。
54·根据权利要求53的方法,其中利用选自溅射、电离溅射、化学汽相淀积、蒸发和电化学方法中的一种技术淀积所说铜合金籽晶层。
55·根据权利要求53的方法,其中所说铜合金籽晶层淀积到厚约0.1nm-100nm。
56·根据权利要求53的方法,还包括在所说铜合金籽晶层淀积步骤前,在所说电子器件上淀积扩散阻挡层的步骤,所说扩散阻挡层由选自Ti、TiN、Ta、Nb、Mo、TaN、W、WN、TaSiN、WSiN、TiAlN和TiSiN中的一种材料淀积。
57·一种形成用于提供与电子器件的电耦合的导体的方法,包括以下步骤:
在所说电子器件上淀积金属籽晶层,所说金属籽晶层由在铜中的溶解度和与铜的亲和性低到不会形成铜化合物的金属淀积,及
在所说金属籽晶层上与之紧密接触地形成铜导电体。
58·根据权利要求57的方法,其中所说金属籽晶层由选自Ag、Mo、W和Co中的一种金属淀积。
59·根据权利要求57的方法,其中所说金属籽晶层的电阻率基本上与铜的电阻率相同。
60·根据权利要求57的方法,其中所说金属籽晶层的厚度为约0.1nm-100nm。
CNB991051912A 1998-04-27 1999-04-26 引入了金属籽晶层的铜互连结构 Expired - Lifetime CN1150619C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/067851 1998-04-27
US09/067,851 1998-04-27
US09/067,851 US6181012B1 (en) 1998-04-27 1998-04-27 Copper interconnection structure incorporating a metal seed layer

Publications (2)

Publication Number Publication Date
CN1233856A true CN1233856A (zh) 1999-11-03
CN1150619C CN1150619C (zh) 2004-05-19

Family

ID=22078850

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB991051912A Expired - Lifetime CN1150619C (zh) 1998-04-27 1999-04-26 引入了金属籽晶层的铜互连结构

Country Status (9)

Country Link
US (2) US6181012B1 (zh)
EP (1) EP0954027B1 (zh)
JP (1) JP3121589B2 (zh)
KR (1) KR100339179B1 (zh)
CN (1) CN1150619C (zh)
DE (1) DE69929496T2 (zh)
MY (1) MY126479A (zh)
SG (1) SG77224A1 (zh)
TW (1) TW418517B (zh)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100454516C (zh) * 2006-04-17 2009-01-21 联华电子股份有限公司 整合于半导体集成电路结构的变压器的制作方法
CN102117796A (zh) * 2011-01-28 2011-07-06 复旦大学 一种集成电路铜互连结构及其制备方法
US8120113B2 (en) 2006-09-29 2012-02-21 Hynix Semiconductor Inc. Metal line in semiconductor device
CN102437145A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种自形成梯度Zr/ZrN双层扩散阻挡层及其制备方法
CN102437100A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种使用双大马士革工艺同时形成铜接触孔和第一层金属的方法
CN102437144A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种Ru-RuO/Ru-Ge-Cu自形成双层非晶扩散阻挡层及其制备方法
CN101533829B (zh) * 2008-02-28 2012-06-13 瑞萨电子株式会社 半导体装置及其制造方法
CN103094184A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 一种铜互连结构的制造方法
CN103904025A (zh) * 2014-03-24 2014-07-02 上海华力微电子有限公司 提高金属连线电迁移可靠性的方法
TWI513833B (zh) * 2011-06-06 2015-12-21 Mitsubishi Materials Corp 電子機器用銅合金、電子機器用銅合金之製造方法、電子機器銅合金用塑性加工材、以及電子機器用零件
CN102361004B (zh) * 2001-09-26 2016-02-10 应用材料公司 阻挡层和籽层的集成
CN106298730A (zh) * 2015-06-29 2017-01-04 英飞凌科技股份有限公司 具有电连接至导电结构的金属结构的半导体器件
CN106558564A (zh) * 2015-09-29 2017-04-05 稳懋半导体股份有限公司 半导体元件背面铜金属的改良结构
US10458003B2 (en) 2011-11-14 2019-10-29 Mitsubishi Materials Corporation Copper alloy and copper alloy forming material
CN111952263A (zh) * 2019-05-16 2020-11-17 上海交通大学 一种微米级单晶铜互连结构及其制备方法

Families Citing this family (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6731007B1 (en) * 1997-08-29 2004-05-04 Hitachi, Ltd. Semiconductor integrated circuit device with vertically stacked conductor interconnections
KR100404649B1 (ko) * 1998-02-23 2003-11-10 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6870263B1 (en) * 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100267108B1 (ko) * 1998-09-16 2000-10-02 윤종용 다층배선을구비한반도체소자및그제조방법
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
JP4221100B2 (ja) * 1999-01-13 2009-02-12 エルピーダメモリ株式会社 半導体装置
JP2000216264A (ja) * 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos論理回路素子、半導体装置とその製造方法およびその製造方法において用いる半導体回路設計方法
JP2000349085A (ja) * 1999-06-01 2000-12-15 Nec Corp 半導体装置及び半導体装置の製造方法
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6521532B1 (en) * 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7655555B2 (en) * 1999-08-27 2010-02-02 Texas Instruments Incorporated In-situ co-deposition of Si in diffusion barrier material depositions with improved wettability, barrier efficiency, and device reliability
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6479389B1 (en) * 1999-10-04 2002-11-12 Taiwan Semiconductor Manufacturing Company Method of doping copper metallization
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
DE60041522D1 (de) * 1999-12-15 2009-03-19 Genitech Co Ltd Methode zur herstellung von kupfer-zwischenverbindungen und dünnen filmen mittels cvd und einem katalysator
JP3821624B2 (ja) * 1999-12-17 2006-09-13 シャープ株式会社 半導体装置の製造方法
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6503375B1 (en) 2000-02-11 2003-01-07 Applied Materials, Inc Electroplating apparatus using a perforated phosphorus doped consumable anode
JP4537523B2 (ja) * 2000-02-16 2010-09-01 富士通株式会社 Cu系埋込配線のパルスメッキ方法
TW476134B (en) * 2000-02-22 2002-02-11 Ibm Method for forming dual-layer low dielectric barrier for interconnects and device formed
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US7061111B2 (en) * 2000-04-11 2006-06-13 Micron Technology, Inc. Interconnect structure for use in an integrated circuit
TW503518B (en) * 2000-04-19 2002-09-21 Ibm Interconnect via structure and method
US6797608B1 (en) * 2000-06-05 2004-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming multilayer diffusion barrier for copper interconnections
JP4425432B2 (ja) * 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100404941B1 (ko) * 2000-06-20 2003-11-07 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
US6416812B1 (en) * 2000-06-29 2002-07-09 International Business Machines Corporation Method for depositing copper onto a barrier layer
US6541861B2 (en) * 2000-06-30 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method including forming step of SOI structure and semiconductor device having SOI structure
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6309959B1 (en) * 2000-08-03 2001-10-30 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
JP2002075995A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6387806B1 (en) * 2000-09-06 2002-05-14 Advanced Micro Devices, Inc. Filling an interconnect opening with different types of alloys to enhance interconnect reliability
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP3686325B2 (ja) * 2000-10-26 2005-08-24 松下電器産業株式会社 半導体装置及びその製造方法
US6417566B1 (en) * 2000-11-01 2002-07-09 Advanced Micro Devices, Inc. Void eliminating seed layer and conductor core integrated circuit interconnects
US6498397B1 (en) * 2000-11-06 2002-12-24 Advanced Micro Devices, Inc. Seed layer with annealed region for integrated circuit interconnects
US6504251B1 (en) * 2000-11-18 2003-01-07 Advanced Micro Devices, Inc. Heat/cold amorphized barrier layer for integrated circuit interconnects
US6348732B1 (en) * 2000-11-18 2002-02-19 Advanced Micro Devices, Inc. Amorphized barrier layer for integrated circuit interconnects
JP2002164428A (ja) 2000-11-29 2002-06-07 Hitachi Ltd 半導体装置およびその製造方法
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6358848B1 (en) * 2000-11-30 2002-03-19 Advanced Micro Devices, Inc. Method of reducing electromigration in copper lines by forming an interim layer of calcium-doped copper seed layer in a chemical solution and semiconductor device thereby formed
US6469387B1 (en) * 2000-11-30 2002-10-22 Advanced Micro Devices, Inc. Semiconductor device formed by calcium doping a copper surface using a chemical solution
US6509262B1 (en) * 2000-11-30 2003-01-21 Advanced Micro Devices, Inc. Method of reducing electromigration in copper lines by calcium-doping copper surfaces in a chemical solution
US6657303B1 (en) * 2000-12-18 2003-12-02 Advanced Micro Devices, Inc. Integrated circuit with low solubility metal-conductor interconnect cap
US6979646B2 (en) * 2000-12-29 2005-12-27 Intel Corporation Hardening of copper to improve copper CMP performance
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6818545B2 (en) * 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
US6426293B1 (en) 2001-06-01 2002-07-30 Advanced Micro Devices, Inc. Minimizing resistance and electromigration of interconnect by adjusting anneal temperature and amount of seed layer dopant
DE10296935T5 (de) * 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrierenverstärkungsprozess für Kupferdurchkontaktierungen(oder Zwischenverbindungen)
US6506668B1 (en) 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6531780B1 (en) * 2001-06-27 2003-03-11 Advanced Micro Devices, Inc. Via formation in integrated circuit interconnects
JP2003051481A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体集積回路装置の製造方法
EP1418619A4 (en) * 2001-08-13 2010-09-08 Ebara Corp SEMICONDUCTOR COMPONENTS AND MANUFACTURING METHOD THEREFOR AND PLATING SOLUTION
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
JP2003115535A (ja) * 2001-10-04 2003-04-18 Hitachi Ltd 半導体集積回路装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
DE10154500B4 (de) * 2001-11-07 2004-09-23 Infineon Technologies Ag Verfahren zur Herstellung dünner, strukturierter, metallhaltiger Schichten mit geringem elektrischen Widerstand
US7696092B2 (en) * 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6703308B1 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
US6835655B1 (en) 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6642133B2 (en) 2001-12-20 2003-11-04 Intel Corporation Silicon-on-insulator structure and method of reducing backside drain-induced barrier lowering
TW571389B (en) 2001-12-25 2004-01-11 Nec Electronics Corp A copper interconnection and the method for fabricating the same
US6660633B1 (en) * 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US6653236B2 (en) * 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
US7341947B2 (en) * 2002-03-29 2008-03-11 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates
US6472310B1 (en) * 2002-04-08 2002-10-29 Advanced Micro Devices, Inc. Tin palladium activation with maximized nuclei density and uniformity on barrier material in interconnect structure
JP4052868B2 (ja) * 2002-04-26 2008-02-27 Necエレクトロニクス株式会社 半導体装置の製造方法
US6958290B2 (en) 2002-05-03 2005-10-25 Texas Instruments Incorporated Method and apparatus for improving adhesion between layers in integrated devices
US6861349B1 (en) 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
TW200406829A (en) * 2002-09-17 2004-05-01 Adv Lcd Tech Dev Ct Co Ltd Interconnect, interconnect forming method, thin film transistor, and display device
US20040061237A1 (en) * 2002-09-26 2004-04-01 Advanced Micro Devices, Inc. Method of reducing voiding in copper interconnects with copper alloys in the seed layer
KR100919378B1 (ko) * 2002-10-28 2009-09-25 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 및 이의 형성 방법
US7880305B2 (en) * 2002-11-07 2011-02-01 International Business Machines Corporation Technology for fabrication of packaging interface substrate wafers with fully metallized vias through the substrate wafer
JP4794802B2 (ja) 2002-11-21 2011-10-19 Jx日鉱日石金属株式会社 銅合金スパッタリングターゲット及び半導体素子配線
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US7101790B2 (en) * 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
CN1317755C (zh) * 2003-04-10 2007-05-23 联华电子股份有限公司 制作钨插塞的方法
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US7276801B2 (en) 2003-09-22 2007-10-02 Intel Corporation Designs and methods for conductive bumps
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US7189292B2 (en) 2003-10-31 2007-03-13 International Business Machines Corporation Self-encapsulated silver alloys for interconnects
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7573133B2 (en) * 2003-12-09 2009-08-11 Uri Cohen Interconnect structures and methods for their fabrication
US7235487B2 (en) * 2004-05-13 2007-06-26 International Business Machines Corporation Metal seed layer deposition
JP2005019979A (ja) * 2004-05-31 2005-01-20 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
US7709958B2 (en) * 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
US7327033B2 (en) * 2004-08-05 2008-02-05 International Business Machines Corporation Copper alloy via bottom liner
US20060071338A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Homogeneous Copper Interconnects for BEOL
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US7078810B2 (en) * 2004-12-01 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
KR101165217B1 (ko) * 2004-12-21 2012-07-17 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US20060154102A1 (en) * 2005-01-10 2006-07-13 Chih-Yuan Wang Soft thin laminated substrate
KR100641362B1 (ko) * 2005-01-13 2006-10-31 삼성전자주식회사 이중 확산방지막을 갖는 배선구조 및 그 제조방법
US7247946B2 (en) * 2005-01-18 2007-07-24 International Business Machines Corporation On-chip Cu interconnection using 1 to 5 nm thick metal cap
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
KR20060089635A (ko) * 2005-02-04 2006-08-09 가부시키가이샤 에키쇼센탄 기쥬쓰 가이하쓰센타 구리 배선층의 형성방법
CN101137933A (zh) * 2005-03-11 2008-03-05 Lg化学株式会社 具有银覆盖的电极的lcd器件
US7285496B2 (en) * 2005-04-28 2007-10-23 Intel Corporation Hardening of copper to improve copper CMP performance
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7215006B2 (en) * 2005-10-07 2007-05-08 International Business Machines Corporation Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US7301236B2 (en) * 2005-10-18 2007-11-27 International Business Machines Corporation Increasing electromigration lifetime and current density in IC using vertically upwardly extending dummy via
KR100702797B1 (ko) * 2005-12-09 2007-04-03 동부일렉트로닉스 주식회사 반도체소자의 구리배선막 형성방법
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
JP4485466B2 (ja) * 2005-12-27 2010-06-23 株式会社神戸製鋼所 半導体装置の配線用金属薄膜及び半導体装置用配線
KR100717909B1 (ko) * 2006-02-24 2007-05-14 삼성전기주식회사 니켈층을 포함하는 기판 및 이의 제조방법
US7367113B2 (en) * 2006-04-06 2008-05-06 United Microelectronics Corp. Method for fabricating a transformer integrated with a semiconductor structure
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
TW200805667A (en) * 2006-07-07 2008-01-16 Au Optronics Corp A display panel structure having a circuit element and a method of manufacture
US7642653B2 (en) * 2006-10-24 2010-01-05 Denso Corporation Semiconductor device, wiring of semiconductor device, and method of forming wiring
JP4896850B2 (ja) * 2006-11-28 2012-03-14 株式会社神戸製鋼所 半導体装置のCu配線およびその製造方法
KR20080061030A (ko) * 2006-12-27 2008-07-02 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US20080157375A1 (en) * 2006-12-27 2008-07-03 Dongbu Hitek Co., Ltd. Semiconductor device having a metal interconnection and method of fabricating the same
US20080164613A1 (en) * 2007-01-10 2008-07-10 International Business Machines Corporation ULTRA-THIN Cu ALLOY SEED FOR INTERCONNECT APPLICATION
US20080258304A1 (en) * 2007-04-23 2008-10-23 Denso Corporation Semiconductor device having multiple wiring layers
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法
US7642189B2 (en) * 2007-12-18 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Synergy effect of alloying materials in interconnect structures
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR100924865B1 (ko) * 2007-12-27 2009-11-02 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
US7897514B2 (en) * 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
KR101433899B1 (ko) * 2008-04-03 2014-08-29 삼성전자주식회사 기판 식각부의 금속층 형성방법 및 이를 이용하여 형성된금속층을 갖는 기판 및 구조물
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2009266999A (ja) * 2008-04-24 2009-11-12 Renesas Technology Corp 半導体装置、およびその製造方法
JP5269533B2 (ja) * 2008-09-26 2013-08-21 三菱マテリアル株式会社 薄膜トランジスター
JP5360959B2 (ja) * 2008-10-24 2013-12-04 三菱マテリアル株式会社 バリア膜とドレイン電極膜およびソース電極膜が高い密着強度を有する薄膜トランジスター
JP4790782B2 (ja) * 2008-11-04 2011-10-12 Jx日鉱日石金属株式会社 銅合金スパッタリングターゲット及び半導体素子配線
US8053861B2 (en) * 2009-01-26 2011-11-08 Novellus Systems, Inc. Diffusion barrier layers
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US7951708B2 (en) * 2009-06-03 2011-05-31 International Business Machines Corporation Copper interconnect structure with amorphous tantalum iridium diffusion barrier
WO2010143609A1 (ja) * 2009-06-12 2010-12-16 株式会社アルバック 電子装置の形成方法、電子装置、半導体装置及びトランジスタ
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
JP5463794B2 (ja) * 2009-08-24 2014-04-09 三菱マテリアル株式会社 半導体装置及びその製造方法
JP5463801B2 (ja) * 2009-08-28 2014-04-09 三菱マテリアル株式会社 半導体装置及びその製造方法
US8653663B2 (en) * 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US9926639B2 (en) * 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8492289B2 (en) 2010-09-15 2013-07-23 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8779589B2 (en) 2010-12-20 2014-07-15 Intel Corporation Liner layers for metal interconnects
US8658533B2 (en) 2011-03-10 2014-02-25 International Business Machines Corporation Semiconductor interconnect structure with multi-layered seed layer providing enhanced reliability and minimizing electromigration
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
JP2013105753A (ja) * 2011-11-10 2013-05-30 Toshiba Corp 半導体装置の製造方法
US9093164B2 (en) * 2011-11-17 2015-07-28 International Business Machines Corporation Redundant via structure for metal fuse applications
US9685404B2 (en) 2012-01-11 2017-06-20 International Business Machines Corporation Back-end electrically programmable fuse
US8497202B1 (en) 2012-02-21 2013-07-30 International Business Machines Corporation Interconnect structures and methods of manufacturing of interconnect structures
US9548276B2 (en) * 2012-04-18 2017-01-17 Win Semiconductors Corp. Structure of backside copper metallization for semiconductor devices and a fabrication method thereof
US9059176B2 (en) 2012-04-20 2015-06-16 International Business Machines Corporation Copper interconnect with CVD liner and metallic cap
JP5569561B2 (ja) * 2012-06-18 2014-08-13 富士通セミコンダクター株式会社 半導体装置の製造方法
US20140048888A1 (en) 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
CN103681478B (zh) * 2013-12-19 2017-01-11 复旦大学 一种铜互连结构及其制备方法
US10510688B2 (en) * 2015-10-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Via rail solution for high power electromigration
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
US10461026B2 (en) 2016-06-30 2019-10-29 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics
KR101818949B1 (ko) * 2017-03-22 2018-01-17 한창헌 말뚝 경유형 지하수 집수 시설의 시공방법
KR102084579B1 (ko) * 2017-04-27 2020-03-04 한창헌 말뚝 경유형 지하수 집수 시설
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
KR102586043B1 (ko) 2018-04-10 2023-10-10 삼성디스플레이 주식회사 유기 발광 표시장치 및 그 제조방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JP2726939B2 (ja) 1989-03-06 1998-03-11 日鉱金属 株式会社 加工性,耐熱性の優れた高導電性銅合金
US5071518A (en) * 1989-10-24 1991-12-10 Microelectronics And Computer Technology Corporation Method of making an electrical multilayer interconnect
EP0725439B1 (en) 1992-08-27 2006-01-18 Kabushiki Kaisha Toshiba Electronic parts with metal wiring and manufacturing method thereof
US5354712A (en) 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5407855A (en) * 1993-06-07 1995-04-18 Motorola, Inc. Process for forming a semiconductor device having a reducing/oxidizing conductive material
JP3337758B2 (ja) * 1993-06-15 2002-10-21 シャープ株式会社 半導体装置の製造方法
JP3512225B2 (ja) * 1994-02-28 2004-03-29 株式会社日立製作所 多層配線基板の製造方法
JP3755539B2 (ja) * 1994-06-20 2006-03-15 富士通株式会社 銅膜の形成方法
JPH0837235A (ja) * 1994-07-22 1996-02-06 Nippon Steel Corp 金属配線形成方法
JPH08186175A (ja) * 1994-12-28 1996-07-16 Sony Corp 半導体装置の配線形成方法及び成膜装置
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
US5545927A (en) * 1995-05-12 1996-08-13 International Business Machines Corporation Capped copper electrical interconnects
EP0751567B1 (en) 1995-06-27 2007-11-28 International Business Machines Corporation Copper alloys for chip interconnections and method of making
JP3517802B2 (ja) 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
JPH09283525A (ja) * 1996-04-17 1997-10-31 Sanyo Electric Co Ltd 半導体装置
KR100243286B1 (ko) * 1997-03-05 2000-03-02 윤종용 반도체 장치의 제조방법
US5801100A (en) * 1997-03-07 1998-09-01 Industrial Technology Research Institute Electroless copper plating method for forming integrated circuit structures
TW401634B (en) * 1997-04-09 2000-08-11 Sitron Prec Co Ltd Lead frame and its manufacture method
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5891802A (en) * 1997-07-23 1999-04-06 Advanced Micro Devices, Inc. Method for fabricating a metallization stack structure to improve electromigration resistance and keep low resistivity of ULSI interconnects
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102361004B (zh) * 2001-09-26 2016-02-10 应用材料公司 阻挡层和籽层的集成
CN100454516C (zh) * 2006-04-17 2009-01-21 联华电子股份有限公司 整合于半导体集成电路结构的变压器的制作方法
US8120113B2 (en) 2006-09-29 2012-02-21 Hynix Semiconductor Inc. Metal line in semiconductor device
CN101154646B (zh) * 2006-09-29 2012-03-21 海力士半导体有限公司 半导体器件内的金属线及其形成方法
CN101533829B (zh) * 2008-02-28 2012-06-13 瑞萨电子株式会社 半导体装置及其制造方法
CN102117796A (zh) * 2011-01-28 2011-07-06 复旦大学 一种集成电路铜互连结构及其制备方法
TWI513833B (zh) * 2011-06-06 2015-12-21 Mitsubishi Materials Corp 電子機器用銅合金、電子機器用銅合金之製造方法、電子機器銅合金用塑性加工材、以及電子機器用零件
CN102437100A (zh) * 2011-09-08 2012-05-02 上海华力微电子有限公司 一种使用双大马士革工艺同时形成铜接触孔和第一层金属的方法
CN103094184B (zh) * 2011-10-31 2015-04-01 中芯国际集成电路制造(上海)有限公司 一种铜互连结构的制造方法
CN103094184A (zh) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 一种铜互连结构的制造方法
US10458003B2 (en) 2011-11-14 2019-10-29 Mitsubishi Materials Corporation Copper alloy and copper alloy forming material
CN102437144A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种Ru-RuO/Ru-Ge-Cu自形成双层非晶扩散阻挡层及其制备方法
CN102437145A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种自形成梯度Zr/ZrN双层扩散阻挡层及其制备方法
CN103904025A (zh) * 2014-03-24 2014-07-02 上海华力微电子有限公司 提高金属连线电迁移可靠性的方法
CN106298730A (zh) * 2015-06-29 2017-01-04 英飞凌科技股份有限公司 具有电连接至导电结构的金属结构的半导体器件
CN106298730B (zh) * 2015-06-29 2019-01-04 英飞凌科技股份有限公司 具有电连接至导电结构的金属结构的半导体器件
CN106558564A (zh) * 2015-09-29 2017-04-05 稳懋半导体股份有限公司 半导体元件背面铜金属的改良结构
CN106558564B (zh) * 2015-09-29 2019-08-27 稳懋半导体股份有限公司 半导体元件背面铜金属的改良结构
CN111952263A (zh) * 2019-05-16 2020-11-17 上海交通大学 一种微米级单晶铜互连结构及其制备方法
CN111952263B (zh) * 2019-05-16 2022-08-05 上海交通大学 一种微米级单晶铜互连结构及其制备方法

Also Published As

Publication number Publication date
EP0954027A1 (en) 1999-11-03
KR19990083124A (ko) 1999-11-25
EP0954027B1 (en) 2006-01-18
SG77224A1 (en) 2000-12-19
JPH11340229A (ja) 1999-12-10
TW418517B (en) 2001-01-11
DE69929496T2 (de) 2006-08-24
DE69929496D1 (de) 2006-04-06
KR100339179B1 (ko) 2002-05-31
US6399496B1 (en) 2002-06-04
US6181012B1 (en) 2001-01-30
JP3121589B2 (ja) 2001-01-09
CN1150619C (zh) 2004-05-19
MY126479A (en) 2006-10-31

Similar Documents

Publication Publication Date Title
CN1150619C (zh) 引入了金属籽晶层的铜互连结构
JP4346866B2 (ja) TaN材料のバリア層を含む構造
US6800554B2 (en) Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6294836B1 (en) Semiconductor chip interconnect barrier material and fabrication method
US6242808B1 (en) Semiconductor device with copper wiring and semiconductor device manufacturing method
US6207222B1 (en) Dual damascene metallization
US7335596B2 (en) Method for fabricating copper-based interconnections for semiconductor device
US7101790B2 (en) Method of forming a robust copper interconnect by dilute metal doping
JP2003529206A (ja) 物理蒸着ターゲット、導電性集積回路金属合金相互接続配線、電気めっきアノード、集積回路における導電性相互接続配線として用いるための金属合金
WO2007112361A2 (en) Structure and method of forming electrodeposited contacts
US10818590B2 (en) Techniques to improve reliability in Cu interconnects using Cu intermetallics
CN100380627C (zh) 半导体器件及其制造方法
KR100420611B1 (ko) Ti를 포함하는 라이너를 갖는 상호접속부
US6724087B1 (en) Laminated conductive lines and methods of forming the same
US6979642B1 (en) Method of self-annealing conductive lines that separates grain size effects from alloy mobility
CN1619002A (zh) 用于互连的自密封的银合金
US20050085073A1 (en) Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
KR20040037305A (ko) 반도체 소자의 금속 배선 형성 방법
JP2002141303A (ja) 向上した濡れ性、障壁効率、デバイス信頼性を有する拡散障壁材料におけるSiの現場同時堆積

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171103

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171103

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20040519