CN1192417C - 工件的超临界处理的方法和装置 - Google Patents

工件的超临界处理的方法和装置 Download PDF

Info

Publication number
CN1192417C
CN1192417C CNB008152985A CN00815298A CN1192417C CN 1192417 C CN1192417 C CN 1192417C CN B008152985 A CNB008152985 A CN B008152985A CN 00815298 A CN00815298 A CN 00815298A CN 1192417 C CN1192417 C CN 1192417C
Authority
CN
China
Prior art keywords
assembly
workpiece
transfer assembly
supercritical processing
supercritical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB008152985A
Other languages
English (en)
Other versions
CN1399790A (zh
Inventor
M·A·比伯格
F·P·莱曼
T·R·苏顿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1399790A publication Critical patent/CN1399790A/zh
Application granted granted Critical
Publication of CN1192417C publication Critical patent/CN1192417C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Abstract

一种用于超临界处理和非超临界处理工件的装置包括一传送组件、一超临界处理组件、一非超临界处理组件和一机器人。该传送组件包括一入口。该超临界处理组件和非超临界处理组件连接到该传送组件上。该机器人最好与位于该传送组件内。在操作中,该机器人将工件从该传送组件的入口传送到该超临界处理组件中。在超临界处理后,该机器人将该工件从该超临界处理组件传送到该非超临界处理组件中。在非超临界处理后,该机器人将该工件返回到该传送组件。作为选择,该非超临界处理可在该超临界处理之前实施。

Description

工件的超临界处理的方法和装置
技术领域
本发明涉及超临界处理领域。尤其本发明涉及工件在超临界环境下超临界处理和该工件在非超临界环境下处理的领域。
背景技术
半导体制造在离子注入、蚀刻和其他处理步骤中使用光致抗蚀剂。在离子注入步骤中,半导体基体的光致抗蚀剂掩膜区域不注入掺杂剂。在蚀刻步骤中,半导体基体的光致抗蚀剂掩膜区域不蚀刻。其他处理步骤的实例包括使用光致抗蚀剂作为处理的晶片表面保护涂层或MEMS(微电子机械系统)装置的表面保护涂层。在离子注入步骤后,光致抗蚀剂显示出覆盖果冻状芯层的坚硬的外壳。坚硬外壳导致难以清除光致抗蚀剂。在蚀刻步骤后,剩余光致抗蚀剂显示出导致难以清除光致抗蚀剂的硬化的特性。在蚀刻步骤后,残留物(光致抗蚀剂残留物与蚀刻残留物混合)覆盖了蚀刻部件的侧壁。根据蚀刻步骤的类型和蚀刻的材料,光致抗蚀剂残留物与蚀刻残留物混合呈现出棘手的清除问题,由于光致抗蚀剂残留物与蚀刻残留物混合经常牢固地粘在蚀刻部件的侧壁上。
典型地,在现有技术中,光致抗蚀剂和残留物通过在O2等离子体中等离子灰化,随后通过湿法洗涤浴清洗。半导体蚀刻和现有技术的金属喷镀处理表示在图1的流程图中。半导体蚀刻和金属喷镀10包括光致抗蚀剂施加步骤12、光致抗蚀剂曝光步骤14、光致抗蚀剂显影步骤16、介电蚀刻步骤18、灰化步骤20、湿法洗涤步骤22和金属镀覆步骤24。在光致抗蚀剂施加步骤12中,光致抗蚀剂施加在具有曝光的氧化层的晶片上。光致抗蚀剂曝光步骤14中,光致抗蚀剂暴露在由掩膜部分遮挡的光线下。
根据光致抗蚀剂是否是正性光致抗蚀剂还是负性光致抗蚀剂,不管是正性光致抗蚀剂还是负性光致抗蚀剂在光致抗蚀剂曝光步骤16中分别清除,在氧化层上留下曝光的图案。在介电蚀刻步骤18中,在氧化层上的曝光图案在RIE(活性离子蚀刻)处理中蚀刻,该处理将该曝光图案蚀刻在该氧化层上,形成一蚀刻图案,同时部分地蚀刻光致抗蚀剂。该处理产生覆盖蚀刻部件侧壁的残留物,同时也硬化了光致抗蚀剂。在灰化步骤20中,O2等离子体氧化并部分清除光致抗蚀剂和残留物。在湿法洗涤步骤22中,剩余的光致抗蚀剂和残留物在湿法洗涤浴中清除。
在金属镀覆步骤24中,金属层镀覆在该晶片上,填充蚀刻图案并同样覆盖非蚀刻区域。在其后的处理中,为形成线路清除覆盖该非蚀刻区域的金属的至少部分。
1990年7月31日公开的Nishikawa等人的美国专利No.4,944,837陈述一种使用液化或超临界气体清除抗蚀剂的现有技术方法。带有抗蚀剂的基体放置在压力容器中,该容器还含有液化或超临界气体。在预定时间过后,液化或超临界气体快速膨胀,从而清除该抗蚀剂。
Nishikawa等人说明超临界CO2可用作光致抗蚀剂的显影剂。带有光致抗蚀剂层的基体以一定图案暴露在光线中,因此形成潜象。带有光致抗蚀剂层和潜象的基体放置在超临界CO2浴中30分钟。超临界CO2接着收缩,形成光致抗蚀剂的图案。Nishikawa等人进一步说明0.5%重量比的甲基异丙酮(MIBK)可添加到超临界CO2中,从而增加超临界CO2的有效性,并因此将显影时间从30分钟降低到5分钟。
Nishikawa等人还说明光致抗蚀剂可使用超临界CO2和7%重量比的MIBK清除。带有光致抗蚀剂的基体放置在超临界CO2和MIBK中30~45分钟。在超临界CO2收缩时,该光致抗蚀剂已经清除。
由Nishikawa等人说明的方法出于多种原因对于半导体生产线是不适合的。快速膨胀的液化或超临界气体以从基体上清除光致抗蚀剂产生基体破碎的可能性。采用30分钟的光致抗蚀剂显影处理是不充分的。使用MIBK对光致抗蚀剂显影或清除的处理不是优选的,因为MIBK是有毒的并且MIBK只使用在更适合的选择不可得到的场合。
1995年1月3日公开的Smith,Jr.等人的美国专利No.5,377,705中说明一种从工件上清洗污染物的系统。污染物包括有机、颗粒和离子污染物。该系统包括可加压清洗容器、液体CO2储存容器、泵、溶剂传送系统、分离器、冷凝器和不同的阀。泵将CO2气体和溶剂传送到清洗容器中,并将CO2加压成超临界CO2。超临界CO2和溶剂从工件上清除污染物。在该泵补充超临界CO2和溶剂的同时阀使一些超临界CO2和溶剂从清洗容器中排放。分离器从超临CO2中分离溶剂。冷凝器使CO2凝结成液体CO2,使得液体CO2储存容器可被补充。
采用例如Smith,Jr.等人说明的系统来清除光致抗蚀剂和残留物产生许多问题。可加压清洗容器其构造不适合对于半导体基体处理。在清洗期间超临界CO2和溶剂的排放是不充分的。这种系统不容易调整以完全适合半导体生产线的需要。这种系统对于半导体基体安全处理是不传导的,这对半导体生产线是至关重要的。这种系统对于半导体基体处理是不经济的。
所需的是一种用于半导体生产线使用超临界二氧化碳显影光致抗蚀剂的方法。
所需的是一种用于半导体生产线使用超临界二氧化碳清除光致抗蚀剂的方法。
所需的是一种构造成用于处理半导体基体的超临界处理系统。
所需的是一种超临界处理系统,其中为在该处理室中产生流体流动,超临界CO2和溶剂不需要从处理室排放。
所需的是一种完全适合半导体生产线的需要的超临界处理系统。
所需的是一种提供半导体基体安全处理的超临界处理系统。
所需的是一种提供经济的半导体基体处理的超临界处理系统。
所需的是一种将蚀刻处理和超临界处理相结合的装置。
所需的是一种将镀覆处理和超临界处理相结合的装置。
所需的是一种将超临界处理和非超临界处理相结合的装置。
发明内容
本发明提出一种用于一个工件的超临界处理的装置,包括:一具有一入口的传送组件;一连接到该传送组件的超临界处理组件,该超临界处理组件具有一个工件空腔,用于在高压处理期间夹持该工件;一连接到该传送组件的非超临界处理组件;以及一与该传送组件连接的传送机构,该传送机构构造成在该入口和该超临界处理组件和该非超临界处理组件之间移动该工件;一与该工件空腔连接的循环管线,构置成将一个超临界流体穿过该工件空腔循环。
本发明也提出一种超临界处理一个工件的方法,包括以下步骤:a.将该工件从一传送组件的入口传送到该传送组件中,该传送组件将一超临界处理组件连接到一非超临界处理组件上;b.将该工件传送到该超临界处理组件,该超临界处理组件具有一个工件空腔,用于在高压处理期间夹持该工件;c.在该超临界处理组件中处理该工件;d.循环一种超临界流体穿过该工件空腔;e.将该工件传送到该非超临界处理组件中;f.在该非超临界处理组件中处理该工件;以及g.将该工件从返回到该传送组件入口。
附图说明
图1在方块图中表示现有技术半导体蚀刻和金属喷镀处理的生产流程。
图2在方块图中表示本发明半导体蚀刻和金属喷镀处理的生产流程。
图3在方块图中表示本发明超临界清除工艺。
图4表示本发明优选的半导体处理系统。
图5表示本发明优选的半导体处理组件。
图6表示本发明半导体处理系统的第一选择实施例。
图7表示本发明半导体处理系统的第二选择实施例。
图8表示本发明半导体处理系统的第三选择实施例。
图9表示本发明半导体处理系统的第八选择实施例。
具体实施方式
图2以方块图示出了本发明的半导体蚀刻和金属喷镀处理。半导体蚀刻和金属喷镀处理30包括光致抗蚀剂施加步骤32、光致抗蚀剂曝光步骤34、光致抗蚀剂显影步骤36、介电蚀刻步骤38、超临界清除工艺40和金属镀覆步骤42。在光致抗蚀剂施加步骤32中,光致抗蚀剂施加在具有曝光的氧化层的晶片上。光致抗蚀剂曝光步骤34中,光致抗蚀剂曝露在由掩膜部分遮挡的光线下。
根据光致抗蚀剂是否是正性光致抗蚀剂还是负性光致抗蚀剂,不管是正性光致抗蚀剂还是负性光致抗蚀剂在光致抗蚀剂曝光步骤36中分别清除,在氧化层上形成曝光的图案。在介电蚀刻步骤38中,在氧化层上的曝光图案最好在RIE(活性离子蚀刻)处理中蚀刻,该处理将该曝光图案蚀刻在该氧化层上,形成一蚀刻图案,同时部分地蚀刻光致抗蚀剂。该处理产生覆盖蚀刻部件侧壁的残留物,同时也硬化了光致抗蚀剂。
在超临界清除工艺40中,使用超临界二氧化碳和溶剂清除蚀刻光致抗蚀剂和残留物。在金属镀覆步骤42中,金属层镀覆在该晶片上,填充蚀刻图案并同样覆盖非蚀刻区域。在其后的处理中,为形成线路清除覆盖该非蚀刻区域的金属的至少部分。
图3以方块图示出了本发明的超临界清除工艺40。通过将晶片和其上的光致抗蚀剂和残留物放置在压力室内并在第一处理步骤52中密封该处理室来开始超临界清除工艺40。在第二处理步骤54中,该压力室用二氧化碳加压直到二氧化碳成为超临界二氧化碳(SCCO2)。在第三处理步骤56中,超临界二氧化碳承载溶剂进入该处理室。在第四处理步骤58中,超临界二氧化碳和溶剂保持与该晶片的接触,直到光致抗蚀剂和残留物从该晶片上清除。在第四处理步骤58中,该溶剂部分溶解光致抗蚀剂和残留物。在第五处理步骤60中,压力室部分排空。在第六处理步骤62中,清洗该晶片。在第七处理步骤64中,超临界清除工艺40通过该压力室的减压和取出该晶片结束。
该介电蚀刻步骤38、超临界清除工艺40、和金属镀覆步骤42最好通过本发明优选的半导体处理系统在半导体生产线上实施,如图4所示。优选半导体处理系统70包括一传送组件72、蚀刻组件74、超临界处理组件76、前腔77、前腔机器人79、镀覆组件78、传送组件机器人80和电子控制器82。传送组件72包括第一到第三处理口84~86,和一传送组件入口90。传送组件入口90包括第一和第二传递工位92和94,以及第一和第二入口96和98。
蚀刻组件74、超临界处理组件76通过前腔77以及镀覆组件78最好分别通过第一到第三处理口84~86与传送组件72相连。最好是,传送组件机器人80在传送组件72中心处与传送组件72相连。第一和第二传递工位92和94通过第一和第二入口96和98分别与该传送组件相连。最好是,第一和第二传递工位92和94分别包括第一和第二承载钳。电子控制器82连接到传送组件72上。
最好是,传送组件72在从低到高真空下操作。最好是,蚀刻组件74是RIE(活性离子蚀刻)组件。RIE组件最好在高真空下操作。最好是,镀覆组件78是PVD(物理气相镀覆)组件。PVD组件最好在很高的真空或超高真空下操作。
对于本领域技术人员容易明白的是RIE组件可由可选择的蚀刻组件例如等离子蚀刻组件代替。另外,对于本领域技术人员容易明白的是PVD组件可由可选择的镀覆组件例如CVD(化学气相镀覆)组件代替。另外,对于本领域技术人员容易明白的是优选半导体处理系统70可构造成只有蚀刻组件74和超临界处理组件76,或只有超临界处理组件76和镀覆组件78。
传送组件机器人80最好包括机器人底座100、机器人臂102和末端执行器104。该机器人底座连接到传送组件72上。机器人臂102最好具有两部分组成的机器人臂,其可以将末端执行器104连接到机器人底座100上。末端执行器104构造成拾起和放置工件。最好是,末端执行器104构造成拾起和放置该晶片。作为选择,末端执行器104构造成拾起和放置圆盘或其它基体。作为选择,用一双臂机器人代替传送组件机器人80。此处双臂机器人包括两臂和两个末端执行器。
超临界处理组件76最好分别包括第一闸阀106。第一闸阀106将工件空腔112连接到前腔77上。前腔77最好包括一第二闸阀108。第二闸阀108将前腔77连接到传送组件72上。
最好在操作中,传送组件机器人80将工件118从第一传递工位92传送到第一蚀刻组件74中,此处实施介电蚀刻步骤38。其后,传送组件机器人80将该晶片118从蚀刻组件74传送到超临界处理组件76的前腔77。第二闸阀108接着闭合并且前腔77最好用二氧化碳加压。接着,前腔机器人79将工件118从前腔77传送到超临界处理组件76中,此处实施超临界清除工艺40。此后,该工件通过前腔机器人79从超临界处理组件76移动到前腔77。接着,该前腔通过一真空泵(未示出)排空。最好是,该真空泵包括涡轮泵。接着,第二闸阀108开启,传送组件机器人80将工件118从超临界处理组件76传送到镀覆组件78中,此处实施金属镀覆步骤42。随后,传送组件机器人80将工件118从金属镀覆组件78传送到第二传递工位94。
最好是,工件118是该晶片。最好是,在传送组件机器人80将该晶片移动到蚀刻组件74之前该晶片在第一匣盒中而其他晶片在第一传递工位92中。对于本领域技术人员容易明白的是一些其他晶片可与该晶片同时处理。例如,在该晶片在镀覆组件78中的同时,第二晶片可在超临界处理组件76中,而第三晶片可在蚀刻组件74中。
最好是,在金属镀覆步骤后,该晶片通过传送组件机器人80放置在第二传递工位94的第二匣盒中。作为选择,该晶片与其他晶片一起开始和结束在第一传递工位92的第一匣盒内,同时第二组晶片开始和结束在第二传递工位94的匣盒内。
对于本领域技术人员容易明白的是对于第二传递工位94可取消或附加的传递工位可添加到优选的半导体处理系统70中。另外,对于本领域技术人员容易明白的是机器人80可通过构造成传送工件118的传送机构代替。另外,对于本领域技术人员容易明白的是第一和第二盒匣可是前方开启的整体容器,该容器采用标准机械接口概念,使得该晶片保持在与周围环境分开的清洁环境中。
图5示出了本发明的第一超临界处理组件76。超临界处理组件76包括二氧化碳供应容器132、二氧化碳泵134、压力室136、化学品供应容器138、循环泵140和排放气体收集容器144。二氧化碳供应容器132通过二氧化碳泵134和二氧化碳管线146连接到压力室136上。二氧化碳管线146包括位于二氧化碳泵134和压力室136之间的二氧化碳加热器148。压力室136包括压力室加热器150。循环泵140位于循环管线152上,并在循环入口154和循环出口156处连接到压力室136上。化学品供应容器138通过包含第一注射泵159的化学品供应管线158连接到循环管线152上。清洗剂供应容器160通过包含第二注射泵163的清洗剂供应管线162连接到循环管线152上。排放气体收集容器144通过排放气体管线164连接到压力室136上。
二氧化碳供应容器132、二氧化碳泵134和二氧化碳加热器148形成二氧化碳供应装置149。化学品供应容器138、第一注射泵159、清洗剂供应容器160和第二注射泵163形成化学品和清洗剂供应装置165。
对于本领域技术人员容易明白的是超临界处理组件76包括阀、电子控制器、过滤器和超临界流体系统典型的通用连接器。参考图3、4和5,超临界清除方法40的实施开始于第一处理步骤52,其中具有光致抗蚀剂或残留物(或光致抗蚀剂和残留物)的晶片通过前腔机器人79插入压力室136的晶片空腔112中,接着压力室136通过关闭闸阀106密封。在第二处理步骤54中,压力室136通过二氧化碳泵134用来自二氧化碳供应容器132的二氧化碳加压。在第二处理步骤54中,二氧化碳通过二氧化碳加热器148加热,同时压力室136通过压力室加热器150加热,以便确保在压力室中的二氧化碳的温度高于临界温度。对于二氧化碳的临界温度是31℃。最好是,压力室136中的二氧化碳的温度在45℃到75℃范围内。作为选择,压力室136中的二氧化碳的温度保持在31℃到约100℃范围内。
在达到初始超临界状态时,第一注射泵159通过循环管线152从化学品供应容器138中泵出溶剂进入压力室136,同时二氧化碳泵进一步在第三步骤56中加压超临界二氧化碳。在溶剂注射开始时,压力室136中的压力大约是1100~1200psi(7.5 8~8.27Mpa)。一旦所需溶剂的量泵入压力室136中并达到所需超临界状态时,二氧化碳泵134停止对压力室136加压,第一注射泵159停止将溶剂泵入压力室136,并且循环泵140开始在第四步骤58中将超临界二氧化碳和溶剂循环。最好是,压力在此点大约是2700~2800psi(18.62~19.31Mpa)。通过循环超临界二氧化碳和溶剂,超临界二氧化碳保持溶剂与该晶片接触。另外,通过循环超临界二氧化碳和溶剂,流体流提高从该晶片上清除光致抗蚀剂或残留物。
最好是,在第四步骤58中该晶片在压力室136中保持不动。作为选择,在第四步骤58中该晶片在压力室136中旋转。
在从该晶片上清除光致抗蚀剂或残留物后,为了将压力室136的状态返回到接近第五处理步骤60的初始超临界状态下,压力室136通过将一些超临界二氧化碳、溶剂、清除的光致抗蚀剂和清除的残余物排放到排放收集容器144部分减压。最好是,压力室136中的压力通过升高压力并接着将压力室136部分排放在此点处至少循环一次。这可提高压力室136的清洁程度。在第五步骤60中,该压力室最好保持在高于临界温度和临界压力下。对于二氧化碳的临界压力是1070psi(7.3 8Mpa)。
在第六步骤62中,第二注射泵163通过该循环管线从清洗剂供应容器160将清洗剂泵入压力室136,同时二氧化碳泵134对压力室136加压接近至所需超临界状态,接着为了清洗该晶片循环泵140循环该超临界二氧化碳和清洗剂。最好是,该清洗剂选自水、醇、丙酮及其混合物。更优选的是,该清洗剂是乙醇和水的混合物。最好是,醇选自由异丙醇、乙醇和其他低分子量乙醇组成的一组,更优选的是,醇选自由异丙醇和乙醇组成的一组,最优选的是,醇是乙醇。
最好是,在第六步骤62中该晶片在压力室136中保持不动。作为选择,在第六步骤62中该晶片在压力室136中旋转。
在第七步骤64中,压力室136通过将压力室136排气到排放气体收集容器144减压,闸阀106开启,该晶片由前腔机器人77从压力室136中取出。
本发明的可选择的超临界清除工艺在随后的专利申请中有说明,所有参考如下:2000年10月25日提出的美国申请No.09/697,227(同样作为PCT/US/00/30218于2000年11月1日提出,并作为WO01/33613于2001年5月10日公开);1998年3月27日提出的美国专利申请No.09/085,391(作为美国专利No.6,306,564于2001年10月23日颁布);1997年3月27日提出的美国临时专利申请No.60/047,739(为美国专利No.6,306,564提供优选权)。
图6表示出本发明半导体处理系统第一选择实施例。第一选择半导体处理系统170将两个前腔77和前腔机器人79从优选半导体处理系统70中去除。在第一选择半导体处理系统170中,超临界处理组件76最好直接连接到第二处理口85上,该真空泵连接到超临界处理组件76上。因此,在第一选择半导体处理系统170中,超临界处理组件76在真空和超临界之间的状态下操作。
图7表示出本发明半导体处理系统第二选择实施例。第二选择半导体处理系统220将第三传递工位22、第二传送组件224和第二传送组件机器人226添加到优选半导体处理系统70中。在第二选择半导体处理系统220中,第三传递工位222将传送组件72连接到第二传送组件224上。第二传送组件机器人226最好位于第二传送组件224内。蚀刻组件74和镀覆组件78最好连接到传送组件72上,同时超临界处理组件76最好连接到第二传送组件224上。因此,第二选择半导体处理系统220最好将超临界处理组件76与操作在真空下的蚀刻组件和镀覆组件74和78分开。以此方式,处理清洁程度提高了。作为选择,在第二选择半导体处理系统220中,第四传递工位添加在传送组件72和第二传送组件224之间。
图8表示出本发明半导体处理系统第三选择实施例。第三选择半导体处理系统200包括传送组件72、超临界处理组件76、传送组件机器人80和非超临界处理组件202。该非超临界处理组件最好是半导体处理组件。该半导体处理组件最好选自由蚀刻组件、物理气相镀覆组件、化学气相镀覆组件、电镀组件、化学机械平面化组件、光刻组件,灰化组件、清洗组件和其他半导体处理组件组成的一组。
在本发明的第四选择半导体处理系统中,优选半导体处理系统70传送组件72不在真空下操作,第一和第二传递工位92和94最好不是承载钳。传送组件而是在大气压力下操作或相对于周围环境稍微正压下操作,此处该稍微正压由惰性气体注射装置产生的。该惰性气体注射装置将例如Ar、CO2或N2的惰性气体注射入传送组件72中。如果该传送组件不在真空下操作时,确保在传送组件72中更加干净的处理环境。
本发明半导体处理系统的第五选择实施例去除了第四选择半导体处理系统的传送组件72。在第五选择半导体处理系统中,传送组件机器人80是一简单机器人,其构造成在第一和第二传递工位92和94和蚀刻组件74、超临界处理组件76和镀覆组件78之间移动工件,并没有受益于传送组件72提供的覆盖作用。
本发明半导体处理系统的第六选择实施例将检测站增加到优选半导体处理系统70中。在第六选择半导体处理系统中,工件118在传送到镀覆组件78之前传送到该检测站。在该检测站,检测工件118确保光致抗蚀剂和残余物从工件上清除。最好是,该检测站使用光谱学检测工件。作为选择,该检测站结合在超临界处理组件76中。
作为选择,在第六选择半导体处理系统操作中,如果预计光致抗蚀剂将蚀刻完全并残留物将不镀覆时,工件118直接从蚀刻组件74传送到该检测站。因此如果检测站发现没有光致抗蚀剂和残余物,超临界清除工艺40可被略过。
本发明半导体处理系统的第七选择实施例将前端机器人增加到优选半导体处理系统70中。在第七选择半导体处理系统中,前端机器人位于传送组件72入口的外部,并且第一和第二匣盒位于远离第一和第二传递工位92和94处。该前端机器人最好构造成从该第一匣盒将该晶片移动到第一传递工位92中,并同样最好构造成从第二传递工位94将该晶片移动到该第二匣盒中。
本发明半导体处理系统的第八选择实施例如图9所示。第八半导体处理系统210包括选择传送组件212和机器人轨道214。
本发明半导体处理系统的第九选择实施例将晶片定向装置增加到优选半导体处理系统70中。该晶片定向装置按照平的、缺口的或气体定向指示器给该晶片定向。最好是,该晶片指向在第一传递工位92。作为选择,该晶片指向在第二传递工位94。
本发明超临界处理组件的第一选择实施例用可选择压力室代替压力室136和闸阀106。该可选择压力室包括室外壳和液压驱动薄压板。该室外壳包括一在其底部开放的圆柱形空腔。该液压驱动薄压板构造成与圆柱形空腔外部的室外壳密封。接着,液压驱动薄压板向上移动并与室外壳密封。一旦该晶片处理后,液压驱动薄压板下降并取出该晶片。
本发明超临界处理组件的第二选择实施例为循环管线152进入晶片空腔112在该晶片空腔的周边设置可选择的入口并在晶片空腔112的顶部中心设置可选择的出口。该可选择的入口最好构造成在由晶片空腔112限定的平面内注射超临界二氧化碳。最好是,该可选择入口相对于晶片空腔112的半径成一角度,使得在操作时该可选择的入口和该可选择的出口在晶片空腔112内产生涡流。
对于本领域技术人员容易明白的是可对该优选实施例进行其他不同的改型,而不超出所附权利要求限定的本发明的精神和范围。

Claims (28)

1.一种用于一个工件的超临界处理的装置,包括:
a.一具有一入口的传送组件(72、212);
b.一连接到该传送组件的超临界处理组件(76),该超临界处理组件具有一个工件空腔(112),用于在高压处理期间夹持该工件;
c.一连接到该传送组件的非超临界处理组件(74、79、202);
以及
d.一与该传送组件连接的传送机构(80),该传送机构构造成在该入口和该超临界处理组件和该非超临界处理组件之间移动该工件;
e.一与该工件空腔(112)连接的循环管线,构置成将一个超临界流体穿过该工件空腔循环。
2.如权利要求1所述的装置,其特征在于,该传送组件包括一传递工位(92)。
3.如权利要求2所述的装置,其特征在于,该传送组件的入口还包括一附加的传递工位(94、222)。
4.如权利要求1所述的装置,其特征在于,该传送组件在真空下操作并且该传送组件入口包括一承载钳(92)。
5.如权利要求4所述的装置,其特征在于,该传送组件入口还包括一附加的承载钳(94)。
6.如权利要求1所述的装置,其特征在于,该非超临界处理组件包括一半导体处理组件(202)。
7.如权利要求6所述的装置,其特征在于,该半导体处理组件选自由蚀刻组件、物理气相镀覆组件、化学气相镀覆组件、电镀组件、化学机械平面化组件和光刻组件组成的一组。
8.如权利要求1所述的装置,其特征在于,该传送机构包括一机器人(80)。
9.如权利要求8所述的装置,其特征在于,该传送组件(72)包括一循环结构。
10.如权利要求9所述的装置,其特征在于,该机器人包括一中央机器人,该中央机器人占据该循环结构的中心。
11.如权利要求8所述的装置,其特征在于,该传送组件包括一轨道结构(212)。
12.如权利要求11所述的装置,其特征在于,该机器人包括一轨道机器人(80、214),该轨道机器人包括该连接到一轨道上机器人,使得该机器人沿该轨道移动,以便达到位于沿着该轨道的该超临界处理组件和该非超临界处理组件。
13.如权利要求8所述的装置,其特征在于,该机器人包括一可延伸的臂(102)和一末端执行器(104)。
14.如权利要求13所述的装置,其特征在于,该机器人还包括一附加的臂和一附加的末端执行器。
15.如权利要求1所述的装置,其特征在于,该第一超临界处理组件包括一压力容器(136)。
16.如权利要求15所述的装置,其特征在于,该压力容器包括一工件空腔(112)和一压力容器入口(85),该压力容器入口提供该工件进和出。
17.如权利要求16所述的装置,其特征在于,该传送机构构造成将该工件放置在该空腔内。
18.如权利要求16所述的装置,还包括一连接到该传送组件和该超临界处理组件的前腔(77)。
19.如权利要求1所述的装置还包括用于对该超临界处理组件加压的装置(146、149)。
20.如权利要求19所述的装置,其特征在于,该用于加压的装置包括一CO2加压结构,该加压结构包括一连接到一泵(134)的CO2供应容器(132),该泵连接到该临界处理组件上。
21.如权利要求18所述的装置还包括用于密封的装置,该装置可操作地密封该压力容器入口。
22.如权利要求1所述的装置,其特征在于,该传送组件还包括用于在该传送组件中产生真空的装置。
23.如权利要求1所述的装置,其特征在于,该传送组件还包括用于在该传送组件中相对于周围环境保持一正压的装置。
24.如权利要求23所述的装置,其特征在于,该用于在该传送组件中保持正压的装置包括一惰性气体注射装置。
25.如权利要求1所述的装置还包括用于控制的装置(82),使得该用于控制的装置控制该传送机构移动该工件。
26.一种超临界处理一个工件(118)的方法,包括以下步骤:
a.将该工件从一传送组件(72)的入口(92、94)传送到该传送组件中,该传送组件将一超临界处理组件连接到一非超临界处理组件上;
b.将该工件传送到该超临界处理组件(76),该超临界处理组件具有一个工件空腔,用于在高压处理期间夹持该工件;
c.在该超临界处理组件中处理该工件;
d.循环一种超临界流体穿过该工件空腔;
e.将该工件传送到该非超临界处理组件(74、78、202)中;
f.在该非超临界处理组件中处理该工件;以及
g.将该工件从返回到该传送组件入口。
27.如权利要求26所述的方法,其特征在于,该传送组件的入口包括一传递工位(92)。
28.如权利要求27所述的方法,其特征在于,该传送组件的入口还包括一附加的传递工位(94)。
CNB008152985A 1999-11-02 2000-11-01 工件的超临界处理的方法和装置 Expired - Fee Related CN1192417C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16312199P 1999-11-02 1999-11-02
US60/163,121 1999-11-02

Publications (2)

Publication Number Publication Date
CN1399790A CN1399790A (zh) 2003-02-26
CN1192417C true CN1192417C (zh) 2005-03-09

Family

ID=22588579

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB008152985A Expired - Fee Related CN1192417C (zh) 1999-11-02 2000-11-01 工件的超临界处理的方法和装置
CNB008152993A Expired - Fee Related CN1175470C (zh) 1999-11-02 2000-11-01 多个工件的超临界处理的方法和装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB008152993A Expired - Fee Related CN1175470C (zh) 1999-11-02 2000-11-01 多个工件的超临界处理的方法和装置

Country Status (9)

Country Link
US (2) US7060422B2 (zh)
EP (2) EP1234322A2 (zh)
JP (2) JP4621400B2 (zh)
KR (2) KR100742473B1 (zh)
CN (2) CN1192417C (zh)
AU (2) AU4902201A (zh)
CA (2) CA2387373A1 (zh)
TW (1) TW484169B (zh)
WO (2) WO2001033615A2 (zh)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6497239B2 (en) 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
AU2001255656A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
WO2002095800A2 (en) * 2001-05-22 2002-11-28 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
FR2838422A1 (fr) * 2002-04-11 2003-10-17 Memscap Procede de fabrication de composants microelectromecaniques
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
JP3861798B2 (ja) * 2002-11-19 2006-12-20 株式会社日立ハイテクサイエンスシステムズ レジスト現像処理装置とその方法
JP2004228526A (ja) * 2003-01-27 2004-08-12 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US20040194886A1 (en) * 2003-04-01 2004-10-07 Deyoung James Microelectronic device manufacturing in coordinated carbon dioxide processing chambers
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
KR100505693B1 (ko) * 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
DE102004029077B4 (de) * 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050181310A1 (en) * 2004-02-17 2005-08-18 Shun-Fa Yang Method for etching metal surface of golf club head
CN103199039B (zh) * 2004-06-02 2016-01-13 应用材料公司 电子装置制造室及其形成方法
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060070640A1 (en) * 2004-10-01 2006-04-06 Darko Babic Method and system for injecting chemistry into a supercritical fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US20100108636A1 (en) * 2008-10-30 2010-05-06 Seagate Technology Llc Integrated Tool for Fabricating an Electronic Component
US8596648B2 (en) 2010-10-22 2013-12-03 Oshkosh Corporation Pump for vehicle suspension system
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017177174A1 (en) 2016-04-08 2017-10-12 Oshkosh Corporation Leveling system for lift device
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102037918B1 (ko) * 2017-11-28 2019-10-29 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP6992625B2 (ja) 2018-03-16 2022-01-13 三菱ケミカル株式会社 コークス製造用粘結材の製造方法
KR102225957B1 (ko) 2018-09-12 2021-03-11 세메스 주식회사 기판 처리 장치
KR102636979B1 (ko) * 2019-04-26 2024-02-14 삼성전자주식회사 멀티 챔버 장치
KR20230092188A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 기판 처리 장치 및 방법

Family Cites Families (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) * 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3521765A (en) * 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3623627A (en) * 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3689025A (en) 1970-07-30 1972-09-05 Elmer P Kiser Air loaded valve
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
FR2128426B1 (zh) 1971-03-02 1980-03-07 Cnen
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) * 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) * 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) * 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) * 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) * 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
DE3861050D1 (de) 1987-05-07 1990-12-20 Micafil Ag Verfahren und vorrichtung zum extrahieren von oel oder polychloriertem biphenyl aus impraegnierten elektrischen teilen mittels eines loesungsmittels sowie destillation des loesungsmittels.
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
EP0343233B1 (en) 1987-11-27 1994-02-02 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
JPH02209729A (ja) * 1989-02-09 1990-08-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び異物除去装置
CA2027550C (en) 1989-02-16 1995-12-26 Janusz B. Pawliszyn Apparatus and method for delivering supercritical fluid
US4879431A (en) * 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
US5062770A (en) * 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
EP0515488A1 (en) 1990-02-16 1992-12-02 BOK, Edward Improved installation for wafer transfer and processing
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
EP0456426B1 (en) 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) * 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) * 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
EP0514337B1 (de) 1991-05-17 1995-11-22 Ciba-Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5243821A (en) * 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5251776A (en) * 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
DE9112761U1 (zh) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) * 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) * 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
US5589224A (en) 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
KR100251873B1 (ko) * 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5433334A (en) * 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JPH0846013A (ja) * 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
JP3486462B2 (ja) * 1994-06-07 2004-01-13 東京エレクトロン株式会社 減圧・常圧処理装置
EP0791093B1 (en) * 1994-11-09 2001-04-11 R.R. STREET & CO., INC. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (de) * 1994-12-08 1996-06-20 Abel Gmbh & Co Doppelmembranpumpe
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3457758B2 (ja) * 1995-02-07 2003-10-20 シャープ株式会社 超臨界流体を利用した洗浄装置
WO1996025760A1 (fr) * 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
US6097015A (en) * 1995-05-22 2000-08-01 Healthbridge, Inc. Microwave pressure vessel and method of sterilization
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US6454945B1 (en) * 1995-06-16 2002-09-24 University Of Washington Microfabricated devices and methods
JP2676334B2 (ja) * 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
EP0884769A1 (en) * 1996-02-29 1998-12-16 Tokyo Electron Limited Heat-treating boat for semiconductor wafer
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
JP3176294B2 (ja) * 1996-08-26 2001-06-11 日本電気株式会社 半導体ウェーハ用キャリア
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
JPH10144757A (ja) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd 基板処理システム
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
JP4246804B2 (ja) * 1997-03-26 2009-04-02 株式会社神戸製鋼所 加熱・加圧処理装置
JPH10288158A (ja) * 1997-04-10 1998-10-27 Kobe Steel Ltd ピストン式ガス圧縮機及びガス圧縮設備
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5975492A (en) * 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
JPH11200035A (ja) * 1998-01-19 1999-07-27 Anelva Corp スパッタ化学蒸着複合装置
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6453924B1 (en) * 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
KR100452542B1 (ko) * 1998-04-14 2004-10-12 가부시끼가이샤가이죠 세정물 건조장치 및 건조방법
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) * 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
JP2000106358A (ja) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp 半導体製造装置および半導体基板の処理方法
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6128830A (en) * 1999-05-15 2000-10-10 Dean Bettcher Apparatus and method for drying solid articles
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
AU2001255656A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
AU2001287153B2 (en) * 2000-09-07 2006-08-31 Core Industries, Inc. Short-length reduced-pressure backflow preventor
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6418956B1 (en) * 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Also Published As

Publication number Publication date
KR20020047314A (ko) 2002-06-21
JP5073902B2 (ja) 2012-11-14
CA2387341A1 (en) 2001-05-10
JP2003518736A (ja) 2003-06-10
US20030150559A1 (en) 2003-08-14
JP4621400B2 (ja) 2011-01-26
WO2001033615A3 (en) 2001-12-06
WO2001046999A3 (en) 2002-07-11
AU4902201A (en) 2001-07-03
WO2001033615A2 (en) 2001-05-10
CA2387373A1 (en) 2001-06-28
WO2001046999A2 (en) 2001-06-28
TW484169B (en) 2002-04-21
KR20020047315A (ko) 2002-06-21
US6926798B2 (en) 2005-08-09
AU3267201A (en) 2001-05-14
CN1399790A (zh) 2003-02-26
US20030136514A1 (en) 2003-07-24
EP1234322A2 (en) 2002-08-28
KR100744888B1 (ko) 2007-08-01
JP2003513466A (ja) 2003-04-08
CN1175470C (zh) 2004-11-10
CN1387673A (zh) 2002-12-25
EP1243021A2 (en) 2002-09-25
US7060422B2 (en) 2006-06-13
KR100742473B1 (ko) 2007-07-25

Similar Documents

Publication Publication Date Title
CN1192417C (zh) 工件的超临界处理的方法和装置
US6748960B1 (en) Apparatus for supercritical processing of multiple workpieces
CN1216415C (zh) 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
US6763840B2 (en) Method and apparatus for cleaning substrates using liquid carbon dioxide
EP1345261A1 (en) Pattern forming method and device and semiconductor device, electric circuit, display element module and luminous element
JP2003513342A (ja) 超臨界二酸化炭素法を用いた基板からフォトレジストおよび残渣の除去
JP2011507237A (ja) 半導体ウエハの洗浄方法及び装置
CN100335969C (zh) 减少多孔介电薄膜清洗期间损伤的处理方法
CN1929085A (zh) 晶片洁净装置的晶片保护系统以及晶片清洗工艺
US20030008509A1 (en) Method and apparatus for fabricating semiconductor devices
US7312151B2 (en) System for ultraviolet atmospheric seed layer remediation
US8236382B2 (en) Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US20060065288A1 (en) Supercritical fluid processing system having a coating on internal members and a method of using
US20030221711A1 (en) Method for preventing corrosion in the fabrication of integrated circuits
Primer Advanced Cleaning Processes for Electronic Device Fabrication (Copper Interconnect and Particle Cleaning)
Sahbari et al. Advanced Cleaning Processes for Electronic Device Fabrication (Copper Interconnect and Particle Cleaning)

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1053747

Country of ref document: HK

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050309

Termination date: 20171101

CF01 Termination of patent right due to non-payment of annual fee