CN1103497C - 使用局部选择氧化在绝缘体上形成的体硅和应变硅 - Google Patents

使用局部选择氧化在绝缘体上形成的体硅和应变硅 Download PDF

Info

Publication number
CN1103497C
CN1103497C CN98121348A CN98121348A CN1103497C CN 1103497 C CN1103497 C CN 1103497C CN 98121348 A CN98121348 A CN 98121348A CN 98121348 A CN98121348 A CN 98121348A CN 1103497 C CN1103497 C CN 1103497C
Authority
CN
China
Prior art keywords
epitaxial loayer
oxidation
layer
forms
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN98121348A
Other languages
English (en)
Other versions
CN1220489A (zh
Inventor
杰克·奥恩·楚
哈里德·泽尔丁·伊斯梅尔
基姆·杨·李
约翰·阿尔布里奇特·奥特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1220489A publication Critical patent/CN1220489A/zh
Application granted granted Critical
Publication of CN1103497C publication Critical patent/CN1103497C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76281Lateral isolation by selective oxidation of silicon

Abstract

一种形成单晶半导体层下掩埋氧化区的方法,包括形成氧化速率与具有较快氧化速率的下层不同的外延层和通过掩模内的开口氧化这些层的步骤。可以形成多个氧化物隔离的FET。本发明减少了源/漏寄生电容和短沟道效应,同时通过选择性氧化半导体层隔离FET并消除了FET的浮体效应。

Description

使用局部选择氧化在绝缘体上形成 的体硅和应变硅
技术领域
本发明涉及在绝缘体上或与之邻接形成体或应变的Si/SiGe层区域,特别涉及局部选择氧化SiGe形成用于器件应用的半导体区下的绝缘区,器件的应用例如互补金属氧化物半导体(CMOS)场效应晶体管(FET)、调制掺杂场效应晶体管(MODFET)、动态随机存取存储器(DRAM)、混合的DRAM和CMOS、静态随机存取存储器(SRAM)、BiCMOS、rf等。
背景技术
在硅半导体技术中,获得绝缘衬底的唯一方式是借助绝缘体上的硅(SOI)、蓝宝石上硅(SOS)、或者腐蚀或重新粘接以获得SOI。在CMOS和高速FET中使用绝缘衬底的主要优点是减少了寄生结电容和短沟道效应,由此增加了器件的速度性能。所有以上方法的一个主要问题是绝缘体覆盖了整个晶片,因此覆盖了包括下面的欧姆接触和FET沟道的整个器件区。整个晶片上的掩埋氧化物导致公知的‘浮体’问题,因为半导体衬底相对于沟道浮动。该问题负面地影响了阈值电压控制和电路工作。以上解决方案的另一问题是它们比常规的体硅衬底昂贵得多。此外,没有简单的方式获得具有较高电子和空穴传输特性的绝缘体上的应变硅。
发明内容
本发明的目的在于以低成本提供没有“浮体”缺陷的掩埋氧化物形成方法。
本发明的另一目的是提供具有高电子和空穴传输特性的绝缘体上应变硅结构。
根据本发明,在单晶半导体层的区域下形成掩埋氧化区的方法包括以下步骤:选择单晶硅衬底,在具有第一氧化速率的衬底上表面上形成不变或渐变的SiGe的第一外延层。该层可以是应变的或弛豫(relaxed)的SiGe或晶格匹配的SiGeC。然后在具有小于第一氧化速率的第二氧化速率的第一层上形成含硅的第二外延层,在第二层上形成掩模,构图掩模以在掩模内形成开口,通过掩模开口氧化第二层和第一层,由此形成具有代替部分或所有第二层下的第一层的部分的氧化区。
本发明还提供形成FET,它的沟道位于第一层剩余部分之上的第二层内。
通过使用本发明,可消除绝缘体覆盖整个晶片所导致的“浮体”缺陷,可有效抑制加工成本,并且本发明的绝缘体上应变硅结构能够获得较高的电子和空穴传输性能。
当结合附图研究本发明下面的详细说明时,本发明的这些和其它特点、目的和优点将变得显而易见。
附图说明
图1和2为示出实施本发明步骤的叠层结构的剖面图。
图3为示出本发明的一个实施例氧化步骤后沿图2的线3-3截取的剖面图。
图4为在不同的温度和环境硅的氧化速率与硼浓度的曲线图。
图5为图3示出的实施例的所制备样品的TEM;以及
图6为本发明第二实施例的截面图,示出多个FET。
图7为去除氧化步骤期间形成的氧化物的附加步骤的层结构截面图。
图8为示出氧化的附加步骤的剖面图。
具体实施方式
参考图1,显示的叠层结构12的截面图示出了初始工艺步骤。首先,选择单晶半导体衬底14,可以为Si、SiGe等。接下来,在衬底14的上表面15上形成不变或渐变的Si1-xGex或(Si1-xGex)aC1-a的外延层16。为说明生长含硅膜的UHV-CVD方法,参考B.S.Meyerson的US专利No.5,298,452,于1994年3月29日发表,题目为“Method and Apparatusfor Low Temperature,Low Pressure Chemical Vapor Deposition ofEpitaxial Silicon Layers”,在这里引入做参考。外延层16具有的Ge的X含量在0.15到0.25范围内。外延层16具有在特定温度和环境的热氧化第一速率。环境可以包括氧气、水蒸气和/或HCl。即使在层14和16具有不同的晶格常数的位置,也需要外延生长不变或渐变组分的外延层16,并选择具有第一热氧化速率。将外延层16的厚度调节到低于或高于临界厚度以分别提供应变的或弛豫的层。为了说明SiGe的弛豫层的形成,参考F.K.LeGoues和B.S.Meyerson的US专利No.5,659,187,于1997年8月19日发表,题目为“Low Defect Density/Arbitrary Lattice ConstantHeteroepitaxial Layers”,在这里引入做参考。
接下来Si或Si1-YGeY的外延层20形成在外延层16的上表面17上。外延层20具有不变或渐变的Ge含量Y,其中Y小于X,并且可以为零。选择层20的组分以便层20具有小于层16的氧化速率的第二氧化速率,并具有需要的电特性。
接下来,将掩模24形成在层20的上表面21上。掩模24可以是氮化硅或如慢氧化或抗氧化材料的其它材料或已为氧化物的材料的覆盖层。氮化硅为优选材料,因为相对于氧化物即二氧化硅可以被选择性地除去。构图掩模24形成如图2所示的开口26。开口26可以沿路径或图形延伸以形成图2所示的掩模图形29或多个掩模图形30。掩模图形30可以形成为矩形、方形和/或圆形。通过开口26的图形也可以形成其它形状。掩模图形30可以为尺寸为1μm×1μm以下的多个矩形和/或方形。可以选择掩模图形和尺寸以便接纳完全由氧化物环绕的Si或SiGe的单独区域上的一个器件,SiGe的剩余部分与衬底14接触或者SiGe完全不与衬底14接触。
接下来,叠层结构12放置在氧化环境中,在某温度下通过开口26氧化外延层16和20,因此氧化了掩模图形30的周边。根据SiGe中Ge的三维组分的分布,层16和20中硼的三维掺杂的分布和氧化时间,形成氧化物33和34如图3所示,氧化物33和34终止于中止层16’内或延伸穿过层16’并终止在衬底14内。氧化环境可以为水蒸气、氧气和/或盐酸中的湿热氧化,温度范围从700℃~950℃,优选在700℃到800℃的范围。例如在750℃,Si的氧化速率在0.5~1nm/min的范围。具有7%Ge的SiGe氧化速率约3.5nm/min。具有16%Ge的SiGe氧化速率约5.2nm/min。具有53.5%Ge的SiGe氧化速率约44nm/min。具有76.6%Ge的SiGe氧化速率约66nm/min。有关SiGe的氧化速率与温度的函数关系以及用于快速热干和湿氧化(RTO)的组分的函数关系的更多数据,可以参考U.Konig和J.Hersener的出版物,题目为“Needs of Low Thermal Budget Processing inSiGe Technology”,Solid State Phenomena,卷47-48(1996)17-32页,由瑞士的Scitec Publications出版。
图3为通过图2所示的开口26氧化掩模29的边缘周围的外延层16和20后沿图2的线3-3的剖面图。如图3所示,除了掩模图形29以下,外延层20完全由氧化区33和34消耗。开口26下的外延层16几乎或全部消耗,并在层20’下层16内横向延伸。形成的氧化物为SirGesO2,其中r和s为0到2范围内的值,取决于层16内Ge含量与厚度的函数关系和氧化条件。
氧化物33和34在层16内层20’下延伸,其中层16’的氧化速率大于层20’的氧化速率,层20’的氧化速率由SiGe中Ge的渐变和硼的掺杂分布(如果存在的话)控制。可以掺杂硼达到1021原子/cc以增强SiGe的氧化。锗的量提供了氧化速率的相应增加。硼的量提供了如图4所示的氧化速率的相应增加。在图4中,纵坐标代表氧化速率(埃/min),横坐标代表硼浓度(cm-3)。曲线41显示出在700℃氧气中1个大气压力下硅氧化速率与硼浓度的函数关系。曲线42显示出在600℃氧气和水蒸气中10个大气压力也称做高压氧化(HIPOX)下硅氧化速率与硼浓度的函数关系。曲线43显示出在700℃氧气和水蒸气中10个大气压力下硅氧化速率与硼浓度的函数关系。HIPOX可以发生在含氧的环境中在1到20个大气压力范围内的压力,通常为12个大气压力。Ge和B的存在提供了氧化速率的累积增加。由此层16内SiGe中Ge组分的三维分布和层14、16和20中硼浓度的三维分布提供了作为时间函数的氧化物33和34的周边表面或前缘35和36的预定分布。箭头37和38示出了在掩模图形29下延伸的氧化物33和34的各自长度l1和l2。相对于掩模图形29层20’也被氧化,但由于较低的氧化速率在掩模图形29下延伸少得多。对于Si和SiGe层的氧化,所得氧化材料的厚度如图3所示增加(没有按比例)。
通过继续与时间成函数关系的一个或多个温度的氧化步骤,可以确定或控制l1和l2,在层16和20的生长平面内对于不变组分l1和l2相等。同样可以确定或控制箭头39示出的间距d。间距d允许层20’通过层16’与衬底14欧姆接触,以控制在层20’内形成的场效应晶体管本体上的电压,由此可以防止由堆积的电荷造成的浮体效应。一般来说,间距d小于FET的栅长度。当层20’与衬底14电隔离间距d为零的情况下,氧化物33和34可以结合在一起。
图5为图3实施例的制备样品的透射电子显微镜(TEM)图。图5的显示与图3中基本上对应。在图5中,l1和l2约0.17μm。间距d约0.15μm。掩模图形29的宽度为0.5μm。在图5中,使用了图3中器件的相同参考数字,并相对于图3引入了相同的材料。
图6为FET52和53的剖面图。在图6中,与图3所示的相同结构元件使用了相同的参考数字。去除可以为氮化硅的掩模图形29(未显示)。如二氧化硅的栅介质56可以形成在分别用于晶体管52和53的层20’上。多晶硅层可以形成在栅介质56上并构图形成分别用于晶体管52和53的栅电极57。使用栅电极57,可以通过离子注入形成源区60和漏区61,形成延伸到氧化物32、33和34上表面的欧姆接触注入。在形成自对准欧姆接触注入之前,在栅电极57的侧壁上形成栅侧壁间隔层(未显示)。由于延伸到氧化物32、33和34的欧姆接触,与层16’的寄生结电容减少,是由于除了不存在p-n结,与Si相比氧化物的介质常数低三倍。对于0.13μm的沟道宽度,源区60和漏区61的寄生结电容小于0.02fF/μm2。通过定出源区60和漏区61下氧化物32、33和34的位置,随着漏偏压增加,可以防止耗尽区延伸进入沟道内,短沟道效应减少。FET52可以为n型并且FET53可以为p型形成CMOS电路。通过在离子注入期间划分出保留用于相反掺杂剂的其它FET,每个FET源和漏可以用合适的掺杂剂掺杂。CMOS电路在本领域已公知,其中一个FET的漏区通过引线66连接到另一个FET的源区形成输出。栅电极通过引线67连接起来形成输入。地和电源电压通过引线68和69连接到各FET的剩余源和漏。
图7为除了氧化物33和34除去之外与图3所示的结构类似的层叠结构70的剖面图。通过如用缓冲的HF腐蚀除去氧化物33和34。
除去氧化物33和34之后,露出的层14、16’和20’暴露到氧化环境,重新开始层16’的氧化,并根据氧化速率氧化较小程度的层14和20’。氧化物33和34起阻止或降低层16’氧化的作用。由此除去氧化物33和34,加速了层16’的氧化。
图8为衬底14和层16’和20’进一步氧化为所示的氧化区77和78后层叠结构74的剖面图。
去除氧化物和在氧化环境中氧化层叠结构的步骤重复多次。形成需要的结构后,可以使用如硅氧化物的介质填充在除去氧化物形成的空隙中。可以使用化学机械工艺形成平面的上表面用于进一步的处理以形成有用的半导体器件。
在图7和8中,与图3的器件对应的结构使用了相同的参考数字。
虽然已介绍和示出了在单晶半导体层的区域下形成掩埋氧化区的工艺,该半导体层与衬底和亚微米沟道长度的FET结构的欧姆接触应变或弛豫,用于器件和电路应用例如CMOS、MODFET、DRAM、SRAM、rf、BiCMOS和混合的DRAM和CMOS,在不脱离仅由附带的权利要求书的范围限定的本发明的广阔范围,本领域的技术人员显然可以做出修改和变形。

Claims (44)

1.一种在单晶半导体层的区域下形成掩埋氧化区的方法,包括步骤:
选择单晶硅衬底,
在所述衬底的上表面上形成由Si1-xGex或(Si(1-x)Gex)aC1-a构成的第一外延层,所述第一外延层具有第一氧化速率,
在所述第一外延层上形成含硅的第二外延层,所述第二外延层具有小于所述第一氧化速率的第二氧化速率,
在所述第二外延层上形成掩模,
构图所述掩模以在所述掩模内形成掩模开口,以及
通过所述掩模开口氧化所述第二外延层和所述第一外延层,由此在所述第一和第二外延层内形成氧化区,且所述氧化区的一部分代替了所述第二外延层下的那部分所述第一外延层。
2.根据权利要求1的方法,特征在于所述氧化步骤继续一段时间形成所述氧化区,且所述氧化区的所述部分在所述第二外延层下延伸预定距离。
3.根据权利要求2的方法,特征在于还包括使两个掩模开口分开定位的步骤,以在所述第二外延层下提供由预定距离隔开的所述氧化区的两个各自的部分。
4.根据权利要求1的方法,特征在于所述掩模开口中的一个沿路径延伸形成掩模图形。
5.根据权利要求4的方法,特征在于所述掩模图形选自由矩形、方形和圆形组成的组中。
6.根据权利要求1的方法,特征在于所述掩模开口使所述掩模形成为分别对应于有源MOS器件尺寸的多个矩形。
7.根据权利要求6的方法,特征在于所述掩模形成的所述多个矩形为1μm×1μm以下。
8.根据权利要求6的方法,特征在于所述氧化步骤继续一段时间以在所述未氧化的第一外延层的所述各矩形的中心内留下有限的面积。
9.根据权利要求8的方法,特征在于还包括在所述第二外延层内形成源和漏区以限定出所述第一外延层的所述有限面积上两者之间的沟道以避免FET的浮体效应的步骤。
10.根据权利要求9的方法,特征在于还包括在所述沟道上形成栅介质和栅电极以便形成所述FET的步骤。
11.根据权利要求8的方法,特征在于还包括在所述氧化区上所述源和漏区内形成欧姆接触离子注入由此减少寄生结电容的步骤。
12.根据权利要求1的方法,特征在于所述氧化步骤包括在700℃到800℃温度范围内的湿热氧化。
13.根据权利要求1的方法,特征在于所述氧化步骤包括在650℃到800℃温度范围内的高压氧化(HIPOX)。
14.根据权利要求1的方法,特征在于还包括除去由所述氧化步骤形成的部分所述氧化物的步骤。
15.根据权利要求14的方法,特征在于还包括基本上除去由所述氧化步骤形成的全部所述氧化物的步骤。
16.根据权利要求14的方法,特征在于还包括所述除去步骤后继续所述氧化步骤的步骤。
17.根据权利要求16的方法,特征在于还包括重复多次所述除去和氧化步骤的步骤。
18.根据权利要求1的方法,特征在于所述形成掩模的步骤包括形成氮化硅层的步骤。
19.根据权利要求1的方法,特征在于形成所述第一外延层的所述步骤包括形成渐变组分的层。
20.根据权利要求19的方法,特征在于形成所述第一外延层的所述步骤包括形成具有晶格参数大于所述第二层的晶格参数的上表面的层,以提供所述第二层内的抗拉应变。
21.根据权利要求1的方法,特征在于形成所述第一外延层的所述步骤包括生长所述第一外延层直到其晶格常数弛豫到大于要形成的所述第二外延层的晶格常数的步骤,由此在抗拉应变下形成所述第二外延层时具有高电子和空穴迁移率。
22.根据权利要求1的方法,特征在于所述氧化步骤继续一段时间形成所述氧化区向下延伸到所述衬底内。
23.根据权利要求1的方法,特征在于还包括用硼掺杂所述第一外延层的区域以促进氧化速率的步骤。
24.根据权利要求1的方法,特征在于所述第一外延层Ge的组分渐变以促进氧化速率。
25.一种电子器件形成于其中的结构,包括:
单晶硅衬底,
第一外延层,位于所述衬底的上表面上,由Si1-xGex或(Si(1-x)Gex)aC1-a构成,
所述第一外延层上含硅的第二单晶层,以及
第一和第二氧化区相互分开,每个所述第一和第二氧化区在所述第二单晶层下所述第一外延层内延伸。
26.根据权利要求25的结构,特征在于所述第一和第二氧化区形成在所述第一外延层和所述第二单晶层内。
27.根据权利要求25的结构,特征在于所述第一和第二氧化区在所述第一外延层内分隔开0.01到0.5μm的范围。
28.根据权利要求25的结构,特征在于所述第一和第二氧化区包围部分所述第二单晶层。
29.根据权利要求28的结构,特征在于所述第二单晶层的所述包围部分在它的上表面形成选自矩形、方形和圆形组成的组中的形状。
30.根据权利要求29的结构,特征在于还包括多个所述第二单晶层的所述包围部分,每个所述第一层的所述包围部分小于1μm×1μm。
31.根据权利要求28的结构,特征在于所述第一和第二氧化区之间的所述第一外延层在所述第二单晶层的所述包围部分下面并与之接触。
32.根据权利要求25的结构,特征在于所述第一外延层包括Ge的组分渐变层。
33.根据权利要求25的结构,特征在于所述第一外延层包括硼掺杂。
34.根据权利要求25的结构,特征在于由于所述第一外延层的上表面的晶格参数,所述第二单晶层处于应力变形。
35.根据权利要求25的结构,特征在于所述第一和第二氧化区延伸穿过所述第一外延层进入所述衬底。
36.根据权利要求25的结构,特征在于还包括所述第二单晶层内分隔开的源和漏区,分别向下延伸到所述第一和第二氧化区的上表面,限定出所述第二单晶层内的沟道。
37.根据权利要求36的结构,特征在于还包括所述沟道上的介质和所述介质上的栅电极以形成场效应晶体管。
38.根据权利要求37的结构,特征在于还包括与所述源和漏的欧姆接触,延伸到所述第一和第二氧化区的上表面。
39.根据权利要求37的结构,特征在于所述沟道处于应力变形。
40.根据权利要求37的结构,特征在于所述第一外延层具有对应于所述第二单晶层内所述沟道晶格应变的Ge的渐变组分。
41.根据权利要求37的结构,特征在于所述第一外延层弛豫。
42.根据权利要求37的结构,特征在于所述第二单晶层与所述第一外延层相当。
43.根据权利要求37的结构,特征在于还包括分别为n型和p型的多个所述场效应晶体管。
44.根据权利要求43的结构,特征在于还包括形成互补金属氧化物半导体(CMOS)电路的互连布线。
CN98121348A 1997-10-16 1998-10-15 使用局部选择氧化在绝缘体上形成的体硅和应变硅 Expired - Lifetime CN1103497C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US951827 1997-10-16
US951,827 1997-10-16
US08/951,827 US5963817A (en) 1997-10-16 1997-10-16 Bulk and strained silicon on insulator using local selective oxidation

Publications (2)

Publication Number Publication Date
CN1220489A CN1220489A (zh) 1999-06-23
CN1103497C true CN1103497C (zh) 2003-03-19

Family

ID=25492209

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98121348A Expired - Lifetime CN1103497C (zh) 1997-10-16 1998-10-15 使用局部选择氧化在绝缘体上形成的体硅和应变硅

Country Status (7)

Country Link
US (2) US5963817A (zh)
EP (1) EP0910124A3 (zh)
JP (1) JP3014372B2 (zh)
KR (1) KR100275399B1 (zh)
CN (1) CN1103497C (zh)
SG (1) SG67564A1 (zh)
TW (1) TW392223B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101986435A (zh) * 2010-06-25 2011-03-16 中国科学院上海微系统与信息技术研究所 防止浮体及自加热效应的mos器件结构及其制造方法

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100400808B1 (ko) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 그레이드된 GeSi층 및 평탄화를 사용한 Si상의 Ge의 쓰레딩 전위 밀도 제어
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US20040023874A1 (en) * 2002-03-15 2004-02-05 Burgess Catherine E. Therapeutic polypeptides, nucleic acids encoding same, and methods of use
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6503773B2 (en) 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6506653B1 (en) * 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6583015B2 (en) * 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
WO2002015244A2 (en) 2000-08-16 2002-02-21 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US6645840B2 (en) * 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6429091B1 (en) 2000-12-08 2002-08-06 International Business Machines Corporation Patterned buried insulator
US6383924B1 (en) 2000-12-13 2002-05-07 Micron Technology, Inc. Method of forming buried conductor patterns by surface transformation of empty spaces in solid state materials
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6495402B1 (en) 2001-02-06 2002-12-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device having source/drain silicon-germanium regions and method of manufacture
US6380590B1 (en) 2001-02-22 2002-04-30 Advanced Micro Devices, Inc. SOI chip having multiple threshold voltage MOSFETs by using multiple channel materials and method of fabricating same
US6410371B1 (en) 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
WO2002082514A1 (en) 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
US7142577B2 (en) 2001-05-16 2006-11-28 Micron Technology, Inc. Method of forming mirrors by surface transformation of empty spaces in solid state materials and structures thereon
US6898362B2 (en) * 2002-01-17 2005-05-24 Micron Technology Inc. Three-dimensional photonic crystal waveguide structure and method
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
US6916727B2 (en) * 2001-06-21 2005-07-12 Massachusetts Institute Of Technology Enhancement of P-type metal-oxide-semiconductor field effect transistors
US6730551B2 (en) 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6933518B2 (en) 2001-09-24 2005-08-23 Amberwave Systems Corporation RF circuits including transistors having strained material layers
JP4060580B2 (ja) * 2001-11-29 2008-03-12 株式会社ルネサステクノロジ ヘテロ接合バイポーラトランジスタ
JP3759026B2 (ja) * 2001-12-06 2006-03-22 セイコーエプソン株式会社 半導体装置およびその検査方法ならびに電子機器
US6600170B1 (en) 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US6642536B1 (en) 2001-12-17 2003-11-04 Advanced Micro Devices, Inc. Hybrid silicon on insulator/bulk strained silicon technology
US20030230778A1 (en) 2002-01-30 2003-12-18 Sumitomo Mitsubishi Silicon Corporation SOI structure having a SiGe Layer interposed between the silicon and the insulator
US6649492B2 (en) 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
AU2003222003A1 (en) 2002-03-14 2003-09-29 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6689671B1 (en) 2002-05-22 2004-02-10 Advanced Micro Devices, Inc. Low temperature solid-phase epitaxy fabrication process for MOS devices built on strained semiconductor substrate
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7615829B2 (en) 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6743662B2 (en) * 2002-07-01 2004-06-01 Honeywell International, Inc. Silicon-on-insulator wafer for RF integrated circuit
US7375385B2 (en) 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
US7594967B2 (en) 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US6882010B2 (en) * 2002-10-03 2005-04-19 Micron Technology, Inc. High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
JP2004153173A (ja) * 2002-10-31 2004-05-27 Sharp Corp 半導体装置の製造方法
KR100481868B1 (ko) * 2002-11-26 2005-04-11 삼성전자주식회사 누설전류를 방지하는 소자 분리 구조를 갖는 변형된 에스오아이 기판 및 그 제조 방법
US6787423B1 (en) 2002-12-09 2004-09-07 Advanced Micro Devices, Inc. Strained-silicon semiconductor device
US6627515B1 (en) * 2002-12-13 2003-09-30 Taiwan Semiconductor Manufacturing Company Method of fabricating a non-floating body device with enhanced performance
US6838395B1 (en) 2002-12-30 2005-01-04 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor crystal
US7332417B2 (en) 2003-01-27 2008-02-19 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
US6924181B2 (en) * 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
US7198974B2 (en) * 2003-03-05 2007-04-03 Micron Technology, Inc. Micro-mechanically strained semiconductor film
DE10310740A1 (de) * 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US7041575B2 (en) * 2003-04-29 2006-05-09 Micron Technology, Inc. Localized strained semiconductor on insulator
US7220656B2 (en) * 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US7115480B2 (en) * 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US6987037B2 (en) * 2003-05-07 2006-01-17 Micron Technology, Inc. Strained Si/SiGe structures by ion implantation
US6936910B2 (en) * 2003-05-09 2005-08-30 International Business Machines Corporation BiCMOS technology on SOI substrates
US20040222436A1 (en) * 2003-05-09 2004-11-11 International Business Machines Corporation Bicmos technology on soi substrates
US7501329B2 (en) 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7273788B2 (en) 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US7662701B2 (en) 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US7008854B2 (en) 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US7812340B2 (en) * 2003-06-13 2010-10-12 International Business Machines Corporation Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7439158B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US6929984B2 (en) * 2003-07-21 2005-08-16 Micron Technology Inc. Gettering using voids formed by surface transformation
US7153753B2 (en) 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US6815278B1 (en) * 2003-08-25 2004-11-09 International Business Machines Corporation Ultra-thin silicon-on-insulator and strained-silicon-direct-on-insulator with hybrid crystal orientations
US20050070070A1 (en) * 2003-09-29 2005-03-31 International Business Machines Method of forming strained silicon on insulator
US6919258B2 (en) * 2003-10-02 2005-07-19 Freescale Semiconductor, Inc. Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
US6831350B1 (en) * 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US7015111B2 (en) * 2003-10-28 2006-03-21 Micron Technology, Inc. Use of selective oxidation to form asymmetrical oxide features during the manufacture of a semiconductor device
KR100605497B1 (ko) * 2003-11-27 2006-07-28 삼성전자주식회사 에스오아이 기판들을 제조하는 방법들, 이를 사용하여반도체 소자들을 제조하는 방법들 및 그에 의해 제조된반도체 소자들
US20050148162A1 (en) * 2004-01-02 2005-07-07 Huajie Chen Method of preventing surface roughening during hydrogen pre-bake of SiGe substrates using chlorine containing gases
US6958286B2 (en) * 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7064037B2 (en) * 2004-01-12 2006-06-20 Chartered Semiconductor Manufacturing Ltd. Silicon-germanium virtual substrate and method of fabricating the same
JP4763967B2 (ja) * 2004-01-29 2011-08-31 富士通セミコンダクター株式会社 半導体記憶装置の製造方法
WO2005093807A1 (en) * 2004-03-01 2005-10-06 S.O.I.Tec Silicon On Insulator Technologies Oxidation process of a sige layer and applications thereof
US7608888B1 (en) * 2004-06-10 2009-10-27 Qspeed Semiconductor Inc. Field effect transistor
JP4473651B2 (ja) * 2004-06-18 2010-06-02 株式会社東芝 半導体装置の製造方法
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
KR100843717B1 (ko) * 2007-06-28 2008-07-04 삼성전자주식회사 플로팅 바디 소자 및 벌크 바디 소자를 갖는 반도체소자 및그 제조방법
US20100117152A1 (en) * 2007-06-28 2010-05-13 Chang-Woo Oh Semiconductor devices
KR100555569B1 (ko) 2004-08-06 2006-03-03 삼성전자주식회사 절연막에 의해 제한된 채널영역을 갖는 반도체 소자 및 그제조방법
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7078722B2 (en) 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
JP4327104B2 (ja) * 2005-01-20 2009-09-09 富士通マイクロエレクトロニクス株式会社 Mos型電界効果トランジスタの製造方法及びmos型電界効果トランジスタ
US7071047B1 (en) 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP4600086B2 (ja) * 2005-02-28 2010-12-15 信越半導体株式会社 多層のエピタキシャルシリコン単結晶ウェーハの製造方法及び多層のエピタキシャルシリコン単結晶ウェーハ
EP1739749A2 (fr) * 2005-06-30 2007-01-03 STMicroelectronics (Crolles 2) SAS Cellule mémoire à un transistor MOS à corps isolé à effet mémoire prolongé
US20070020876A1 (en) * 2005-07-19 2007-01-25 Micron Technology, Inc. Integrated circuitry, dynamic random access memory cells, electronic systems, and semiconductor processing methods
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US8921193B2 (en) * 2006-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-gate dielectric process using hydrogen annealing
US7544584B2 (en) * 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
EP1833094B1 (en) * 2006-03-06 2011-02-02 STMicroelectronics (Crolles 2) SAS Formation of shallow SiGe conduction channel
US7355262B2 (en) * 2006-03-17 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion topography engineering for high performance CMOS fabrication
US20070257310A1 (en) * 2006-05-02 2007-11-08 Honeywell International Inc. Body-tied MOSFET device with strained active area
US7772060B2 (en) * 2006-06-21 2010-08-10 Texas Instruments Deutschland Gmbh Integrated SiGe NMOS and PMOS transistors
US7863141B2 (en) * 2006-07-25 2011-01-04 Chartered Semiconductor Manufacturing, Ltd. Integration for buried epitaxial stressor
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US8962447B2 (en) * 2006-08-03 2015-02-24 Micron Technology, Inc. Bonded strained semiconductor with a desired surface orientation and conductance direction
US7968960B2 (en) 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
US7670896B2 (en) * 2006-11-16 2010-03-02 International Business Machines Corporation Method and structure for reducing floating body effects in MOSFET devices
TWI355046B (en) * 2007-07-10 2011-12-21 Nanya Technology Corp Two bit memory structure and method of making the
US9368410B2 (en) * 2008-02-19 2016-06-14 Globalfoundries Inc. Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
KR101505494B1 (ko) * 2008-04-30 2015-03-24 한양대학교 산학협력단 무 커패시터 메모리 소자
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP2011003797A (ja) * 2009-06-19 2011-01-06 Toshiba Corp 半導体装置及びその製造方法
US8101486B2 (en) * 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
US8405186B2 (en) 2010-06-17 2013-03-26 International Business Machines Corporation Transistor structure with a sidewall-defined intrinsic base to extrinsic base link-up region and method of forming the structure
US8513084B2 (en) 2010-06-17 2013-08-20 International Business Machines Corporation Transistor structure with a sidewall-defined intrinsic base to extrinsic base link-up region and method of forming the transistor
CN101924138B (zh) * 2010-06-25 2013-02-06 中国科学院上海微系统与信息技术研究所 防止浮体及自加热效应的mos器件结构及其制备方法
KR101209004B1 (ko) * 2010-06-28 2012-12-07 주식회사 세라젬 인체 스캔 기능을 갖는 온열치료기 및 이를 이용한 인체 스캔방법
US8716096B2 (en) 2011-12-13 2014-05-06 International Business Machines Corporation Self-aligned emitter-base in advanced BiCMOS technology
US8466012B1 (en) 2012-02-01 2013-06-18 International Business Machines Corporation Bulk FinFET and SOI FinFET hybrid technology
US8652932B2 (en) 2012-04-17 2014-02-18 International Business Machines Corporation Semiconductor devices having fin structures, and methods of forming semiconductor devices having fin structures
CN102655112B (zh) * 2012-04-18 2014-08-06 北京大学 实现锗基mos器件有源区之间隔离的方法
CN103681275B (zh) * 2012-09-12 2016-07-27 中芯国际集成电路制造(上海)有限公司 一种具有高度可控鳍片的半导体器件以及制备方法
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9842928B2 (en) * 2013-12-23 2017-12-12 Intel Corporation Tensile source drain III-V transistors for mobility improved n-MOS
US9502565B2 (en) * 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US10109477B2 (en) * 2015-12-31 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9748404B1 (en) 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
US9905672B2 (en) * 2016-05-23 2018-02-27 Samsung Electronics Co., Ltd. Method of forming internal dielectric spacers for horizontal nanosheet FET architectures
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) * 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20220150109A (ko) * 2021-05-03 2022-11-10 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692996A (en) * 1984-11-21 1987-09-15 Nec Corporation Method of fabricating semiconductor devices in dielectrically isolated silicon islands
CN86108395A (zh) * 1985-12-17 1987-09-16 德克萨斯仪器公司 用阳极化硅内层的开槽和氧化形成的半导体隔离
US5670412A (en) * 1995-07-25 1997-09-23 Micron Technology, Inc. Semiconductor processing methods of forming field oxidation regions on a semiconductor substrate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57107050A (en) * 1980-12-25 1982-07-03 Seiko Epson Corp Manufacture of semiconductor device
DE19503641A1 (de) * 1995-02-06 1996-08-08 Forschungszentrum Juelich Gmbh Schichtstruktur mit einer Silicid-Schicht, sowie Verfahren zur Herstellung einer solchen Schichtstruktur
US5783498A (en) * 1996-05-28 1998-07-21 Nec Corporation Method of forming silicon dioxide film containing germanium nanocrystals

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692996A (en) * 1984-11-21 1987-09-15 Nec Corporation Method of fabricating semiconductor devices in dielectrically isolated silicon islands
CN86108395A (zh) * 1985-12-17 1987-09-16 德克萨斯仪器公司 用阳极化硅内层的开槽和氧化形成的半导体隔离
US5670412A (en) * 1995-07-25 1997-09-23 Micron Technology, Inc. Semiconductor processing methods of forming field oxidation regions on a semiconductor substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101986435A (zh) * 2010-06-25 2011-03-16 中国科学院上海微系统与信息技术研究所 防止浮体及自加热效应的mos器件结构及其制造方法
CN101986435B (zh) * 2010-06-25 2012-12-19 中国科学院上海微系统与信息技术研究所 防止浮体及自加热效应的mos器件结构的制造方法

Also Published As

Publication number Publication date
JPH11284065A (ja) 1999-10-15
EP0910124A3 (en) 2000-08-16
TW392223B (en) 2000-06-01
KR19990036735A (ko) 1999-05-25
EP0910124A2 (en) 1999-04-21
CN1220489A (zh) 1999-06-23
US6251751B1 (en) 2001-06-26
SG67564A1 (en) 1999-09-21
US5963817A (en) 1999-10-05
JP3014372B2 (ja) 2000-02-28
KR100275399B1 (ko) 2000-12-15

Similar Documents

Publication Publication Date Title
CN1103497C (zh) 使用局部选择氧化在绝缘体上形成的体硅和应变硅
US5998807A (en) Integrated CMOS circuit arrangement and method for the manufacture thereof
CN101189730B (zh) 具有增强迁移率的应变沟道的非平面体晶体管及制造方法
US9281376B2 (en) Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US7388267B1 (en) Selective stress engineering for SRAM stability improvement
US7198990B2 (en) Method for making a FET channel
US10170475B2 (en) Silicon-on-nothing transistor semiconductor structure with channel epitaxial silicon region
US10038075B2 (en) Silicon-on-nothing transistor semiconductor structure with channel epitaxial silicon-germanium region
US20020115240A1 (en) Double soi device with recess etch and epitaxy
US7795661B2 (en) Vertical SOI transistor memory cell
KR20080021569A (ko) 반도체 장치 및 반도체 장치의 제조 방법
US20070228417A1 (en) Semiconductor device and method of fabricating the same
CN107658227B (zh) 源/漏的形成方法以及半导体器件的形成方法
US7482252B1 (en) Method for reducing floating body effects in SOI semiconductor device without degrading mobility
CN111599758A (zh) 一种半导体器件及其制造方法
CN1949538A (zh) 半导体器件以及半导体器件的制造方法
Kasai et al. 1/4 µm CMOS isolation technique with sidewall insulator and selective epitaxy
CN111599759A (zh) 一种半导体器件及其制造方法
KR20090033723A (ko) 트랜지스터 및 그 제조방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171115

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171115

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20030319