CN102144281A - 原位腔室处理与沉积工艺 - Google Patents

原位腔室处理与沉积工艺 Download PDF

Info

Publication number
CN102144281A
CN102144281A CN2009801348974A CN200980134897A CN102144281A CN 102144281 A CN102144281 A CN 102144281A CN 2009801348974 A CN2009801348974 A CN 2009801348974A CN 200980134897 A CN200980134897 A CN 200980134897A CN 102144281 A CN102144281 A CN 102144281A
Authority
CN
China
Prior art keywords
gas
tantalum
predecessor
substrate
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801348974A
Other languages
English (en)
Other versions
CN102144281B (zh
Inventor
保罗·F·马
约瑟夫·F·奥布赫恩
梅·张
史蒂文·H·金
吴典晔
诺曼·M·纳卡西玛
马克·约翰逊
罗亚·帕拉科德迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102144281A publication Critical patent/CN102144281A/zh
Application granted granted Critical
Publication of CN102144281B publication Critical patent/CN102144281B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Abstract

本发明的实施例提供一种用于在例如原子层沉积(ALD)或化学气相沉积(CVD)的气相沉积工艺期间,处理工艺腔室的内表面和在其上沉积材料的方法。在一实施例中,于预处理工艺期间,该工艺腔室的内表面和该基板可暴露至试剂,例如,氢化配体化合物。该氢化配体化合物可为与自后续沉积工艺期间使用的金属有机前驱物所形成的自由配体相同的配体。该自由配体通常在沉积工艺期间通过氢化或热解来形成。在一范例中,于实施气相沉积工艺前,该工艺腔室和基板在预处理工艺期间暴露至烷基胺化合物(例如,二甲胺);该气相沉积工艺使用金属有机化学前驱物,其具有烷胺配体,例如,五(二甲胺)钽(PDMAT)。

Description

原位腔室处理与沉积工艺
技术领域
本发明的实施例大体上是关于半导体与其他电子器件的处理,特别是关于在气相沉积工艺前用于工艺腔室与其中的基板的表面的改善的方法。
背景技术
电子器件产业以及半导体产业持续致力于更大生产率,同时增加沉积在具有渐增的较大表面面积的基板上的层的均匀性。这些相同因素与新材料结合也提供了基板上每单位面积的较高的电路集成。随着对增加的电路集成的需要,对较大均匀性、沉积率和关于层特性的工艺控制的需求因而上升。在多层集成电路中形成含钽层,例如,钽、氮化钽和氮化钽硅,对工艺控制形成许多挑战,尤其是关于接触形成。
从溅射钽和反应溅射氮化钽所形成的阻障层已验证其性质适于用来控制铜扩散。示范的性质包括高导电率、高热稳定性和抗外来原子扩散。使用物理气相沉积(PVD)和原子层沉积(ALD)两种工艺来沉积钽或氮化钽,其特征在于小尺寸(例如,约90nm宽)和约5∶1的高深宽比。不过,公认PVD工艺在此尺寸和深宽比之下可能已到达极限,而ALD工艺则遭受其他问题。
在ALD工艺期间遭遇的常见问题包括在相同的ALD工艺腔室内完成多次执行后,沉积率和不均匀性的性能缺乏稳定性。包括内部工艺套组的工艺腔室的老化为沉积频率和工艺腔室的内表面上的沉积薄膜类型的函数。由于引入二次反应,工艺腔室的内表面上的沉积薄膜显著影响ALD工艺的沉积特性。随着在ALD腔室内处理的基板数目增加,二次反应导致沉积率和不均匀性的性能漂移。同样地,工艺腔室的内表面上的沉积薄膜可剥离形成微粒,其最终会污染基板表面。
因此,在气相沉积工艺期间,需要增加基板上的沉积材料的沉积率和均匀性的稳定性,也需要减少或排除工艺腔室内的污染物。
发明内容
在一实施例中,提供一种用于工艺腔室以及在基板表面上沉积材料的方法,其包括在预处理工艺期间,将工艺腔室的内表面和配置在该工艺腔室内的基板暴露至处理气体,该处理气体含有氢化配体化合物;及随后在气相沉积工艺期间,将该基板暴露至第一前驱物气体,以在该基板上沉积材料。在如原子层沉积(ALD)工艺或化学气相沉积(CVD)工艺的气相沉积工艺期间,该基板可循序或同时暴露至该第一前驱物和至少一个第二前驱物气体。
在许多范例中,该处理气体内的该氢化配体化合物所具有的化学式为HL,其中L为配体,例如,烷胺基、烷基亚胺基、烷氧基、烷基、烯、炔、环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基或其衍生物。该第一前驱物气体可含有第一前驱物,其所具有的化学式为ML′x,其中x为1、2、3、4、5、6或更大,M为例如,钛、锆、铪、铌、钽、钼、钨、钌、钴、镍、钯、铂、铜、铝、镓、铟、硅、锗、锡、磷、砷或锑的元素;且每一L′为独立的配体,例如,烷胺基、烷基亚胺基、烷氧基、烷基、烯、炔、环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、氢、卤素、其衍生物或其组合。
在某些实施例中,该方法提供该气相沉积工艺为ALD工艺,且在ALD工艺期间,该基板循序暴露至烷胺金属前驱物气体以及该第二前驱物气体。在其他实施例中,该气相沉积工艺为CVD工艺,且在CVD工艺期间,该基板同时暴露至烷胺金属前驱物气体以及该第二前驱物气体。在一范例中,提供一种用于处理腔室以及在基板表面上沉积材料的方法,其包括在预处理工艺期间,将工艺腔室的内表面和在该工艺腔室内的基板暴露至处理气体,该处理气体含有烷基胺化合物;及在ALD工艺期间,在该基板上沉积材料时,将该基板循序暴露至烷胺金属前驱物气体以及至少一个第二前驱物气体。在一范例中,该第二前驱物气体含有氮前驱物,例如,铵,其用来沉积金属氮化物材料,例如,氮化钽。
在某些范例中,该处理气体含有氢化配体化合物,例如,烷基胺化合物,其所具有的化学式为H2NR或HNR′R″,其中每一R、R′和R″为独立的甲基、乙基、丙基、丁基、戊基、芳香基、其异构物、其衍生物或其组合。该烷基胺化合物可为甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其异构物、其衍生物或其组合。该处理气体可进一步含有至少一个载气,例如,氨、氢、氮、氩、氦或其组合。在一范例中,该处理气体含有二甲胺、氨和另一载气,例如,氩。
在某些实施例中,烷胺金属前驱物气体含有烷胺金属前驱物,其所具有的化学式为ML’x,其中x为1、2、3、4、5、6或更大,M为金属或其他元素,例如,钛、锆、铪、钽、钼、钨或硅,且每一配体L′为独立的配体,例如,烷胺配体、其包括N(CH3)2、N(C2H5)2、N(C3H7)2、N(C4H9)2、N(CH3)(C2H5)、其异构物、其衍生物或其组合。在某些范例中,该金属或元素M可为硅、钛、锆或铪,而x通常为4。在其他范例中,该烷胺金属前驱物为钽前驱物,该金属M为钽,而x通常为4或5。钽前驱物的范例含有五(二甲胺)钽(PDMAT)、五(二乙胺)钽、五(乙基甲基胺)钽、第三丁基亚胺基三(二甲胺)钽、第三丁基亚胺基三(二乙胺)钽、第三丁基亚胺基三(乙基甲基胺)钽、三级戊基亚胺基三(二甲胺)钽、三级戊基亚胺基三(二乙胺)钽、三级戊基亚胺基三(乙基甲基胺)钽或其衍生物。在一范例中,该钽前驱物为PDMAT,且该烷基胺化合物气体含有甲胺或二甲胺。
在其他范例中,该处理气体内的氢化配体化合物可为醇化合物,其所具有的化学式为ROH,其中R为甲基、乙基、丙基、丁基、戊基、其异构物或其衍生物。该醇化合物可为甲醇、乙醇、丙醇、丁醇、戊醇、其异构物、其衍生物或其组合。在该氢化配体化合物为醇的范例中,第一前驱物可含有烷氧基配体,例如,OCH3、OC2H5、OC3H7、OC4H9、其异构物或其衍生物。在其他范例中,该氢化配体化合物的配体L可为环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物或其衍生物,且该第一前驱物的配体L′可为环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物或其衍生物。
该工艺腔室通常含有盖体组件和腔室主体,其在预处理工艺期间可独立加热至从约30℃至约100℃的范围内的温度,较佳的是从约40℃至约80℃。该盖体组件和该腔室主体的内表面在该预处理工艺期间可暴露至该烷基胺化合物气体。该预处理工艺可持续从约5秒至约60秒的范围内的时间周期,较佳的是从约15秒至约40秒,更佳的是从约10秒至约30秒。
和未实施预处理工艺相比,在该预处理工艺期间处理该工艺腔室的内容积表面帮助增加遍及基板表面的该沉积材料的均匀性(或降低不均匀性)。该沉积材料遍及该基板表面的的不均匀性可为约12%或更小,较佳的是约10%或更小,更佳的是约8%或更小,举例来说,约6%或更小。
在一范例中,提供一种用于处理腔室与在基板表面上沉积材料的方法,其包括以下步骤:将工艺腔室的内表面与配置在该工艺腔室内部的基板暴露至具有连续流的载气;在预处理工艺期间,引入含有甲胺或二甲胺的处理气体至该连续流载气,以使该工艺腔室的内表面和该基板暴露至该处理气体。该方法进一步提供在ALD工艺期间,交替或循序脉冲钽前驱物气体和氮前驱物气体至该连续流载气中,以便在沉积氮化钽材料在该基板上时,循序将该基板暴露至该钽和氮前驱物气体。在一范例中,该钽前驱物气体含有PDMAT,该氮前驱物气体含有氨。
附图说明
参照绘示于附图中的实施例来提供于上文扼要总结的本发明的更具体叙述,以更详细了解本发明的上述特征结构。不过,须注意附图仅绘示本发明的典型实施例,且因此不应视为对本发明范围的限制,因为本发明可容许其他等效实施例。
图1绘示根据此处所述的一实施例的流程图,其显示用于沉积氮化钽材料的方法;
图2绘示根据此处所述的另一实施例的流程图,其显示用于沉积氮化钽材料的方法;及
图3A至3C绘示如此处另一实施例中所述的工艺腔室的概略横剖面图,其含有包括盖体组件和适于ALD的气体输送设备。
具体实施方式
本发明的实施例提供一种在气相沉积工艺期间用于原位处理工艺腔室的内表面以及在该工艺腔室内部的基板上沉积材料的方法。在如原子层沉积(ALD)或化学气相沉积(CVD)的气相沉积工艺期间,该基板可循序或同时暴露至第一前驱物和第二前驱物气体。在一实施例中,于预处理工艺期间,该工艺腔室的内表面和该基板可暴露至处理气体,其含有试剂,例如,氢化配体化合物。该氢化配体化合物可与从后续气相沉积工艺期间使用的金属有机前驱物中所形成的自由配体相同。该自由配体通常在沉积工艺期间通过氢化或热解来形成。在一范例中,于实施气相沉积工艺前,该工艺腔室和基板在预处理工艺期间暴露至烷基胺化合物(例如,二甲胺);该气相沉积工艺使用金属有机化学前驱物,其可具有烷胺配体,例如,五(二甲胺)钽(PDMAT,((CH3)2N)5Ta)。
在许多范例中,该处理气体含有氢化配体化合物,其具有HL的化学式,其中L为配体,例如,烷胺基、烷基亚胺基、烷氧基、烷基、烯、炔、环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基或其衍生物。该化学前驱物气体含有化学前驱物,其具有ML′x的化学式,其中x为1、2、3、4、5、6或更大,M为例如,钛、锆、铪、铌、钽、钼、钨、钌、钴、镍、钯、铂、铜、铝、镓、铟、硅、锗、锡、磷、砷或锑的元素,且每一L′为独立的配体,例如,烷胺基、烷基亚胺基、烷氧基、烷基、烯、炔、环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、氢、卤素、其衍生物或其组合。
在某些实施例中,该方法提供该气相沉积工艺为ALD工艺,且在ALD工艺期间,该基板循序暴露至烷胺金属前驱物气体以及另一化学前驱物气体。在其他实施例中,该气相沉积工艺为CVD工艺,且在CVD工艺期间,该基板同时暴露至烷胺金属前驱物气体以及另一化学前驱物气体。在一范例中,该方法在预处理工艺期间,将工艺腔室的内表面和该工艺腔室内部的基板暴露至处理气体,其含有烷基胺化合物;及在ALD工艺期间,在该基板上沉积材料时,循序将该基板暴露至烷胺金属前驱物气体和至少一个额外的化学前驱物气体。在一范例中,该额外的化学前驱物气体含有氮前驱物,例如,氨,其用来沉积金属氮化物材料,例如,氮化钽。
在某些范例中,该处理气体含有烷基胺化合物,其所具有的化学式为H2NR或HNR′R″,其中每一R、R′和R″为独立的甲基、乙基、丙基、丁基、戊基、芳香基、其异构物、其衍生物或其组合。该烷基胺化合物可为甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其异构物、其衍生物或其组合。该处理气体可进一步含有至少一个载气,例如,氨、氢、氮、氩、氦或其组合。在一范例中,该处理气体含有二甲胺、铵和另一载气,例如,氩。
在某些实施例中,该烷胺金属前驱物气体含有烷胺金属前驱物,其所具有的化学式为ML’x,其中x为1、2、3、4、5、6或更大,M为金属或其他元素,例如,钛、锆、铪、钽、钼、钨或硅,且每一配体L′为独立的配体,例如,烷胺配体、其含有N(CH3)2、N(C2H5)2、N(C3H7)2、N(C4H9)2、N(CH3)(C2H5)、其异构物、其衍生物或其组合。在某些范例中,该金属或元素M可为硅、钛、锆或铪,而x通常为4。在其他范例中,该烷胺金属前驱物为钽前驱物,该金属M为钽,而x通常为4或5。钽前驱物的范例包括五(二甲胺)钽、五(二乙胺)钽、五(乙基甲基胺)钽、第三丁基亚胺基三(二甲胺)钽、第三丁基亚胺基三(二乙胺)钽、第三丁基亚胺基三(乙基甲基胺)钽、三级戊基亚胺基三(二甲胺)钽、三级戊基亚胺基三(二乙胺)钽、三级戊基亚胺基三(乙基甲基胺)钽或其衍生物。在一范例中,该钽前驱物为PDMAT,且该烷基胺化合物气体含有甲胺或二甲胺。
在其他范例中,该处理气体内的氢化配体化合物可为醇化合物,其所具有的化学式为ROH,其中R为甲基、乙基、丙基、丁基、戊基、其异构物或其衍生物。该醇化合物可为甲醇、乙醇、丙醇、丁醇、戊醇、其异构物、其衍生物或其组合。在该氢化配体化合物为醇的范例中,该化学前驱物可含有烷氧基配体,例如,OCH3、OC2H5、OC3H7、OC4H9、其异构物或其衍生物。在其他范例中,该氢化配体化合物的配体L可为环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物或其衍生物,且该化学前驱物的配体L′可为环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物或其衍生物。
该工艺腔室通常含有盖体组件和腔室主体,其可在预处理工艺期间独立加热至从约30℃至约100℃的范围内的温度,较佳的是从约40℃至约80℃。该盖体组件和该腔室主体的内表面在该预处理工艺期间可暴露至烷基胺化合物气体。该预处理工艺可持续从约5秒至约60秒范围内的时间周期,较佳的是从约15秒至约40秒,更佳的是从约10秒至约30秒。
在一范例中,提供一种用于处理腔室以及在基板上沉积材料的方法,其包括以下步骤:将工艺腔室的内表面和配置在该工艺腔室内部的基板暴露至具有连续流的载气;在预处理工艺期间,将含有甲胺或二甲胺的处理气体引入该连续流载气,以使该工艺腔室的内表面和该基板暴露至该处理气体。该方法进一步提供在ALD工艺期间,交替或循序脉冲钽前驱物气体和氮前驱物气体至该连续流载气中,以便在沉积氮化钽材料在该基板上时,循序将该基板暴露至该钽和氮前驱物气体。在一范例中,该钽前驱物气体含有PDMAT,且该氮前驱物气体含有氨。
图1绘示根据此处所述的一实施例的用于沉积氮化钽材料的ALD工艺100的顺序流程图。ALD工艺100提供供给该工艺腔室的载气的恒定流量,并使其中的基板暴露至此载气恒定流量。在步骤102,该工艺腔室可加热并加压至预定温度和压力。同样地,在步骤102期间,该工艺腔室及/或基板可暴露至预处理工艺。该预处理工艺使该工艺腔室的内表面与该基板暴露至处理气体,其含有氢化配体化合物,较佳的是,烷基胺化合物,例如,甲胺、二甲胺或其衍生物。
含有该氢化配体化合物的该处理气体可在具有或不具有载气的情况下暴露至该工艺腔室的内表面或该基板。在许多范例中,该处理气体含有至少一个载气和该氢化配体化合物。该处理气体的该载气可为氨、氩、氮、氢、氦或其混合物。在一替代实施例中(例如,用于形成氧化物或其他材料),该处理气体的载气可含有氧、氧化亚氮或空气。
在步骤102期间,该工艺腔室和该基板可暴露至该处理气体,其具有从约0.5slm至约20slm的范围内的气体流速,较佳的是从约1slm至约16slm,更佳的是从约2slm至约8slm,例如,约4slm。该处理气体可通过使该载气流过含有该氢化配体化合物的安瓿或起泡器而形成。或者,该处理气体可通过使该氢化配体化合物与该载气共流而形成。该氢化配体化合物可具有约5sccm至约1000sccm的范围内的气体流速,较佳的是从约25sccm至约500sccm,更佳的是从约50sccm至约150sccm,例如,约100sccm。在一范例中,该处理气体含有烷基胺化合物,例如,甲胺、二甲胺或其衍生物,和至少一个载气。在一范例中,该处理气体可含有二甲胺,其具有约100sccm的流速;及氩,其具有约4slm的流速。在另一范例中,该处理气体可含有二甲胺,其具有约20sccm的流速;氨,其具有约1slm的流速;及氩,其具有约8slm的流速。该工艺腔室及/或基板可暴露至含有该氢化配体或其他试剂的处理气体达约2秒至约120秒的范围内的时间周期,较佳的是从约5秒至约60秒,举例来说,约20秒或约40秒。
在该预处理工艺和该沉积工艺期间,该工艺腔室和该基板可维持在近乎低于所选择的化学前驱物(例如PDMAT)的热分解温度。该工艺腔室、该基板及/或该基板基座在该预处理工艺和该沉积工艺期间的示范温度可在约100℃至约500℃的范围内,较佳的是从约200℃至约400℃,更佳的是从约250℃至约300℃。该工艺腔室可含有腔室主体和腔室盖体,其各自可独立加热至位于从约25℃至约300℃的范围内的温度,较佳的是从约30℃至约100℃,更佳的是从约40℃至约80℃。该工艺腔室可具有约1mTorr至约100Torr的范围内的内压,较佳的是从约1Torr至约50Torr,更佳的是从约5Torr至约20Torr,例如,约10Torr。
在步骤104中,氮化钽层的形成被叙述为将载气流起始进入该工艺腔室与遍及该基板。在步骤106中,提供钽前驱物脉冲进入该工艺腔室中。钽前驱物脉冲进入载气流中。在基板上吸附单层的钽前驱物。剩余的钽前驱物可由净化气流及/或真空系统拉力来移除。在步骤108期间,载气连续暴露至该基板,并将氮前驱物脉冲加入载气中。该氮前驱物,例如,氨,与吸附的钽前驱物起反应,以在该基板上形成氮化钽层。剩余的氮前驱物与任何副产品(例如,有机化合物)可由净化气流及/或真空系统拉力来移除。在步骤110,如果达成所需的氮化钽层厚度,则沉积工艺结束于步骤112。不过,在达成所需的氮化钽层厚度之前,通常会重复多个步骤104至110的循环。在一范例中,PDMAT和氨循序脉冲40个循环,以沉积具有约
Figure BPA00001328722700081
厚度的薄膜。
或者,针对工艺100,氮化钽层的形成可起始于在基板上吸收单层的氮前驱物,随后则是单层的钽前驱物。此外,在其他范例中,介于反应物气体及/或净化气体的脉冲间的单独泵排空可用于防止反应物气体混合。
在流入ALD工艺腔室之前,PDMAT前驱物可在安瓿、汽化器、起泡器或类似容器内加热。PDMAT可加热到至少30℃的温度,较佳的是从约45℃至约90℃的范围内,更佳的是从约50℃至约80℃,例如,约73℃。预热的PDMAT前驱物比室温(约20℃)下的PDMAT前驱物更彻底留存在载气中。为了将PDMAT前驱物加热至所需温度,安瓿、输送线与安瓿及/或输送线上的阀可各自独立加热至从约25℃至约300℃的范围内的温度,较佳的是从约50℃至约150℃,更佳的是从约70℃至约120℃。在一范例中,安瓿的侧壁可加热到约85℃,输送线可加热到约100℃,且阀可加热到约95℃。
为了清楚而容易的叙述,该方法将进一步被叙述为与使用ALD工艺沉积氮化钽阻障层相关。例如PDMAT的钽前驱物或含钽化合物的脉冲可引入工艺腔室中。可通过载气或净化气体提供钽前驱物,载气或净化气体包括,但不受限于,氦、氩、氮、氢、合成气体(forming gas)或其混合物。氮前驱物或含氮化合物(例如氨)的脉冲也引入工艺腔室中。载气可用于输送氮前驱物。在一实施态样中,净化气流可由气源(例如,槽或内部)连续提供,以用作钽前驱物和氮前驱物的脉冲间的净化气体,并用作钽前驱物和氮前驱物的脉冲期间的载气。在其他实施态样中,净化气体脉冲可在钽前驱物的每一脉冲和氮前驱物的每一脉冲后提供。同样地,在每一沉积步骤或半反应期间,恒定的净化气体或载气可流过工艺腔室。
在一范例中,基板可加热至约250℃至约300℃的范围内的温度,且腔室内压可在约5Torr至约15Torr的范围内。基板可暴露至氩载气,其具有约1000sccm至约3000sccm的范围内的流速,较佳的是约1500sccm。钽前驱物气体是通过使氩载气流过具有预热PDMAT的安瓿而形成,其速度从约200sccm至约2000sccm,较佳的是约500sccm。PDMAT维持在约73℃。含有PDMAT的工艺气体供给基板表面达约0.1秒至约3.0秒的范围内的时间周期,较佳的是从约0.5秒至约1.5秒,举例来说,约1秒。
在基板暴露至PDMAT脉冲之后,氩载气流可继续净化达约0.2秒至约5.0秒的范围内的时间周期,较佳的是从约0.25秒至约1.5秒,举例来说,约0.5秒。在此净化步骤期间,真空系统移除任何残余的PDMAT。随后,将含有氨的含氮工艺气体的脉冲供给基板表面。工艺气体可包括载气中的氮前驱物,或可单独为氮前驱物。在一范例中,工艺气体含有氨和氮。含有氨的工艺气体以从约1000sccm至约3000sccm的速度输送,较佳的是约1500sccm,并供给基板表面达约0.1秒至约3.0秒的范围内的时间周期,较佳的是从约0.5秒至约1.5秒,举例来说,约1秒。在含有氨的工艺气体脉冲后,氩载气流可继续达约0.2秒至约5.0秒的范围内的时间周期,较佳的是从约0.25秒至约1.5秒,举例来说,约0.5秒。真空系统移除任何剩余的氮前驱物及/或任何在反应期间形成的副产品。
重复ALD循环直到达到沉积材料(例如氮化钽)的预定厚度,例如,约
Figure BPA00001328722700091
至约
Figure BPA00001328722700092
的范围内,较佳的是从约
Figure BPA00001328722700093
至约
Figure BPA00001328722700094
例如,对阻障层来说约
Figure BPA00001328722700095
和未实施预处理工艺相比,在预处理工艺期间处理工艺腔室的内容积表面帮助了增加遍及基板表面的沉积材料的均匀性(或降低不均匀性)。遍及基板表面的沉积材料的不均匀性可为约12%或更小,较佳的是约10%或更小,更佳的是约8%或更小,举例来说,约6%或更小。
图2绘示根据此处所述的一实施例的用于沉积氮化钽材料的ALD工艺200的顺序流程图。ALD工艺200提供供给该工艺腔室的载气的恒定流量,并使其中的基板暴露至此载气恒定流量。在步骤202,该工艺腔室可加热并加压至预定温度和压力。在步骤202期间,该工艺腔室及/或基板可暴露至预处理工艺。该预处理工艺使该工艺腔室的内表面与该基板暴露至处理气体,其含有氢化配体化合物,较佳的是,烷基胺化合物,例如,甲胺、二甲胺或其衍生物。
含有该氢化配体化合物的处理气体可在具有或不具有载气的情况下暴露至该工艺腔室的内表面或该基板。在许多范例中,该处理气体含有至少一个载气和该氢化配体化合物。该处理气体的该载气可为氨、氩、氮、氢、氦或其混合物。在例如用于形成氧化物或其他材料的替代实施例中,该处理气体的载气可包括氧、氧化亚氮或空气。
在步骤202期间,该工艺腔室和该基板可暴露至该处理气体,其具有从约0.5slm至约20slm的范围内的气体流速,较佳的是从约1slm至约16slm,更佳的是从约2slm至约8slm,例如,约4slm。该处理气体可通过使该载气流过含有氢化配体化合物的安瓿或起泡器而形成。或者,该处理气体可通过使氢化配体化合物与载气共流而形成。该氢化配体化合物可具有约5sccm至约1000sccm的范围内的气体流速,较佳的是从约25sccm至约500sccm,更佳的是从约50sccm至约150sccm,例如,约100sccm。在一范例中,该处理气体含有烷基胺化合物,例如,甲胺、二甲胺或其衍生物,和至少一个载气。在一范例中,该处理气体可含有二甲胺,其具有约100sccm的流速;及氩,其具有约4slm的流速。在另一范例中,该处理气体可含有二甲胺,其具有约20sccm的流速;氨,其具有约1slm的流速;及氩,其具有约8slm的流速。该工艺腔室及/或基板可暴露至含有该氢化配体或其他试剂的处理气体达约2秒至约120秒的范围内的时间周期,较佳的是从约5秒至约60秒,举例来说,约20秒或约40秒。
在一实施例中,于步骤204期间,将净化气体的第一脉冲供给工艺腔室并遍及基板。在步骤204和208期间,真空系统从工艺腔室移除气体。在步骤206期间,基板暴露至钽前驱物的脉冲。PDMAT吸附至基板形成单层。在步骤208期间,净化气体的第二脉冲移除过量的PDMAT和任何气体污染物。在步骤210期间,将氮前驱物脉冲至腔室中并遍及基板。氮前驱物与吸附的PDMAT起反应,以形成含钽材料,例如,氮化钽。在步骤212,如果达成所需的氮化钽层厚度,则沉积工艺结束于步骤214。不过,在达成所需的氮化钽层厚度之前,通常会重复多个步骤204至212的循环。在一范例中,PDMAT和氨循序脉冲20个循环,以沉积具有约
Figure BPA00001328722700111
厚度的薄膜。
在该预处理工艺和该沉积工艺期间,该工艺腔室和该基板可维持在近乎低于所选择的化学前驱物(例如,PDMAT)的热分解温度。该工艺腔室、该基板及/或该基板基座在该预处理工艺和该沉积工艺期间的示范温度可在约100℃至约500℃的范围内的温度,较佳的是从约200℃至约400℃,更佳的是从约250℃至约300℃。该工艺腔室可含有腔室主体和腔室盖体,其各自可独立加热至约25℃至约300℃的范围内的温度,较佳的是从约30℃至约100℃,更佳的是从约40℃至约80℃。该工艺腔室可具有约1mTorr至约100Torr的范围内的内压,较佳的是从约1Torr至约50Torr,更佳的是从约5Torr至约20Torr,例如,约10Torr。
如上文所述,钽前驱物气体可通过使氩载气流过具有预热PDMAT的安瓿而形成。基板可暴露至含有PDMAT的钽前驱物气体达约0.1秒至约3.0秒的范围内的时间周期,较佳的是从约0.5秒至约1.5秒,更佳的是约1秒。在钽前驱物气体脉冲之后,可将净化气体的脉冲注入工艺腔室,且真空系统从工艺腔室的内容积移除各种气体达约0.2秒至约5.0秒的范围内的时间周期,较佳的是从约0.25秒至约1.5秒,更佳的是约0.5秒。随后,基板暴露至氮前驱物气体或含有氨的含氮工艺气体的脉冲。氮前驱物气体可包括载气中的氮前驱物或可单独为氮前驱物。含有氨的氮前驱物气体可以按照从约1000sccm至约3000sccm的速度输送,较佳的是约1500sccm,并暴露至基板达约0.1秒至约3.0秒的范围内的时间周期,较佳的是从约0.5秒至约1.5秒,更佳的是约1秒。在氮前驱物气体脉冲之后,可将净化气体的另一脉冲注入工艺腔室,且真空系统从工艺腔室的内容积移除各种气体达约0.2秒至约5.0秒的范围内的时间周期,较佳的是从约0.25秒至约1.5秒,更佳的是约0.5秒。重复ALD循环直到达到含钽层(例如,氮化钽)的预定厚度,该厚度例如位于从约
Figure BPA00001328722700112
至约
Figure BPA00001328722700113
的范围内,较佳的是从约
Figure BPA00001328722700114
至约
Figure BPA00001328722700115
例如,约
Figure BPA00001328722700116
每一含钽气体脉冲、含氮气体脉冲和介于反应物脉冲间的净化气体脉冲的持续时间可变,并取决于所用的沉积腔室和耦合至此的真空系统的体积容积。举例来说,(1)气体的较低的腔室压力将需要较长的脉冲时间;(2)较低的气体流速将需要较长时间使腔室压力上升并稳定,且需要较长的脉冲时间;及(3)大容积的腔室将耗费较长时间填满,需要较长时间使腔室压力稳定,从而需要较长的脉冲时间。类似地,介于每一脉冲之间的时间也为可变的,并取决于工艺腔室和耦合至此的真空系统的体积容积。一般而言,含钽气体或含氮气体的脉冲持续时间必须足够长,以用于单层化合物的吸附或反应。在一实施态样中,当含氮气体的脉冲进入时,含钽气体的脉冲仍可在腔室中。一般而言,净化气体及/或泵排空的持续时间必须足够长,以防止含钽气体与含氮气体的脉冲在反应区中混合在一起。
在另一实施例中,在基板上形成其他材料前,工艺腔室可在预处理工艺期间暴露至处理气体。在一范例中,氢化配体化合物可为烷基胺化合物,例如,甲胺或二甲胺,而PDMAT可用作钽前驱物,以形成其他含钽材料,例如,氧化钽、氮化硅钽、氮化硼钽、氮化磷钽、氧氮化钽或钽硅酸盐。形成三级或四级元素含钽材料的工艺的更详细叙述在共同受让的美国专利第7,081,271号中记载,其全文并入于此以供参照。
工艺100和200可修改,以获得三级含钽材料。举例来说,如果基板在含有钽前驱物气体和氮前驱物脉冲的ALD循环的额外步骤时暴露至硅前驱物脉冲,则可形成氮化硅钽材料。类似地,如果基板在含有钽前驱物气体和氮前驱物脉冲的ALD循环的额外步骤时暴露至氧前驱物脉冲,则可形成氧氮化钽材料。在另一范例中,如果基板在ALD循环期间暴露至钽前驱物气体脉冲、硅前驱物脉冲和氧前驱物脉冲,则可形成钽硅酸盐材料。在另一范例中,如果基板在ALD循环期间暴露至钽前驱物气体脉冲和氧前驱物脉冲,则可形成氧化钽材料。在另一范例中,如果基板在ALD循环期间暴露至钽前驱物气体脉冲、氮前驱物脉冲和磷前驱物(例如,膦)脉冲,则可形成氮化磷钽材料。在另一范例中,如果基板在ALD循环期间暴露至钽前驱物气体脉冲、氮前驱物脉冲和硼前驱物(例如,二硼烷)脉冲,则可形成氮化硼钽材料。
在一实施例中,可形成或沉积氮化钽材料,其具有化学式TaNx,其中x在约0.4至约2.0的范围内。在某些范例中,氮化钽材料可形成为具有经验式TaN、Ta3N5、Ta2N或Ta6N2.57。氮化钽材料可沉积为非晶或结晶材料。在氮化钽材料的沉积期间,ALD工艺提供化学计量控制。化学计量可通过沉积工艺后的不同程序改变,例如,当Ta3N5热退火以形成TaN时。可在沉积期间改变前驱物比率,以控制氮化钽材料的化学计量。
在上文范例中,不同的钽材料,例如,氮化钽,可通过ALD工艺形成,其利用钽前驱物PDMAT和氢化配体化合物二甲胺。不过,其他化学前驱物和氢化配体化合物也在本发明实施例的范围内。
用于气相沉积工艺的化学前驱物的一个重要特征在于具有有利的蒸气压。化学前驱物在周围温度及/或压力下可具有气态、液态或固态。不过,在气相沉积系统内部,前驱物挥发为气体,并输送至ALD或CVD工艺腔室。化学前驱物通常在输送至工艺腔室前加热。
钽前驱物可含有配体,例如,烷胺基、烷基亚胺基、环戊二烯基、烷基环戊二烯基、戊二烯基、烷基、烯、炔、烷氧基、其异构物、其衍生物或其组合。用作钽前驱物的烷胺钽化合物包括(RR’N)5Ta,其中每一R或R’为独立的氢、甲基、乙基、丙基或丁基。用作钽前驱物的烷基亚胺基钽化合物包括(RN)(R’R”N)3Ta,其中每一R、R’或R”为独立的氢、甲基、乙基、丙基、丁基或戊基。
示范的钽前驱物包括,五(二甲胺)钽(PDMAT,(Me2N)5Ta)、五(二乙胺)钽(PDEAT,(Et2N)5Ta)、五(乙基甲基胺)钽(PEMAT,(EtMeN)5Ta)、第三丁基亚胺基三(二甲胺)钽(TBTDMT,(tBuN)Ta(NMe2)3)、第三丁基亚胺基三(二乙胺)钽(TBTDET,(tBuN)Ta(NEt2)3)、第三丁基亚胺基三(乙基甲基胺)钽(TBTEMT,(tBuN)Ta(NMeEt)3)、三级戊基亚胺基三(二甲胺)钽(TAIMATA,(tAmylN)Ta(NMe2)3)、三级戊基亚胺基三(二乙胺)钽((tAmylN)Ta(NEt2)3)、三级戊基亚胺基三(乙基甲基胺)钽((tAmylN)Ta(NEtMe)3)、双(环戊二烯基)三氢化钽(Cp2TaH3)、双(甲基环戊二烯基)三氢化钽((MeCp)2TaH3)、双(五甲基环戊二烯基)三氢化钽((Me5Cp)2TaH3)、甲氧钽((MeO)5Ta)、乙氧钽((EtO)5Ta)、丙氧钽((PrO)5Ta)、丁氧钽((BuO)5Ta)、其异构物或其衍生物。
此处使用“TAIMATA”来叙述三级戊基亚胺基三(二甲胺)钽,其具有化学式(tAmylN)Ta(NMe2)3,其中为tAmyl为三级戊基(tert-amyl)群(C5H11-或CH3CH2C(CH3)2-)。在一实施例中,含钽气体可通过在汽化器、起泡器或安瓿中加热液态TAIMATA前驱物到至少30℃的温度来形成,较佳的是加热到从约50℃至约80℃的范围内的温度。载气可流过加热的TAIMATA各处或起泡通过加热的TAIMATA,以形成含钽气体。
除了钽前驱物之外,其他化学前驱物也可用于气相沉积工艺中,如此处实施例所述。也可用在气相沉积(例如,ALD或CVD)工艺中的示范的化学前驱物包括钛前驱物、钨前驱物、铪前驱物、锆前驱物、铝前驱物、钴前驱物、钌前驱物、铜前驱物、硅前驱物、氮前驱物、氧前驱物和其他化学前驱物。可形成或沉积的材料包括数种金属、氮化物、氧化物、硅化物,其包括金属钽、氮化钽、氧化钽、氧氮化钽、硅化钽、氮硅化钽、金属钛、氮化钛、氧化钛、氧氮化钛、硅化钛、氮硅化钛、金属钨、氮化钨、氧化钨、硼氮化钨、硅化钨、氮硅化钨、硼化钨、金属铪、氮化铪、氧化铪、氧氮化铪、硅化铪、氮化硅铪、铪硅酸盐、氧氮化铪硅、金属锆、氮化锆、氧化锆、氧氮化锆、硅化锆、氮化锆硅、锆硅酸盐、氮氧化锆硅、金属铝、氮化铝、氧化铝、氧氮化铝、硅化铝、氮化铝硅、铝硅酸盐、氮氧化铝硅、金属钴、硅化钴、金属钌、金属铜、铜合金、其衍生物、其合金或其组合。
在另一实施例中,处理气体含有氢化配体化合物,其具有HL的化学式,其中L为配体,例如,烷胺基、烷基亚胺基、烷氧基、烷基、烯、炔、环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基或其衍生物。在某些范例中,例如,当金属前驱物为烷胺金属前驱物时,处理气体含有氢化配体化合物,其可为烷基胺化合物,并具有H2NR或HNR′R″的化学式,其中每一R、R′和R″为独立的甲基、乙基、丙基、丁基、戊基、苯基、芳香基、其异构物、其衍生物或其组合。该烷基胺化合物可为甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其异构物、其衍生物或其组合。在某些范例中,该处理气体进一步含有至少一个载气,例如,氨、氢、氮、氩、氦或其组合。在一范例中,该处理气体含有二甲胺、氨和另一载气,例如,氩。
在其他范例中,该处理气体含有氢化配体化合物,其可为醇化合物,且具有化学式ROH,其中R为甲基、乙基、丙基、丁基、戊基、其异构物或其衍生物。该醇化合物可为甲醇、乙醇、丙醇、丁醇、戊醇、其异构物、其衍生物或其组合。在其他范例中,化学前驱物含有烷氧基配体,例如,OCH3、OC2H5、OC3H7、OC4H9、其异构物或其衍生物。
在其他实施例中,前驱物气体含有化学前驱物,其具有ML′x的化学式,其中x为1、2、3、4、5、6或更大,M为元素,例如,钛、锆、铪、铌、钽、钼、钨、钌、钴、镍、钯、铂、铜、铝、镓、铟、硅、锗、锡、磷、砷或锑,且每一L′为独立的配体,例如,烷胺基、烷基亚胺基、烷氧基、烷基、烯、炔、环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、氢、卤素、其衍生物或其组合。
在一实施例中,氢化配体化合物的配体L可为环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物或其衍生物,且该化学前驱物的配体L′可为环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物或其衍生物。
在某些范例中,前驱物气体含有烷胺基金属前驱物气体,其含有烷胺基金属前驱物,且具有的化学式ML’x,其中x为1、2、3、4、5、6或更大,M为金属或其他元素,例如,钛、锆、铪、钽、钼、钨或硅,且每一配体L′为独立的配体,例如,烷胺配体、其包括N(CH3)2、N(C2H5)2、N(C3H7)2、N(C4H9)2、N(CH3)(C2H5)、其异构物、其衍生物或其组合。在某些范例中,金属/元素M可为硅、钛、锆或铪,而x通常为4。在其他范例中,烷胺基金属前驱物为钽前驱物,金属M为钽,而x通常为4或5。
在其他范例中,氢化配体化合物可为醇化合物,其所具有的化学式为ROH,其中R为甲基、乙基、丙基、丁基、戊基、其异构物或其衍生物。该醇化合物可为甲醇、乙醇、丙醇、丁醇、戊醇、其异构物、其衍生物或其组合。在其他范例中,第一前驱物含有烷氧基配体,例如,OCH3、OC2H5、OC3H7、OC4H9、其异构物或其衍生物。在其他范例中,氢化配体化合物的配体L可为环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物或其衍生物,且第一前驱物的配体L′可为环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物或其衍生物。
如此处所述用于沉积材料的钛前驱物包括四(二甲胺基)钛(TDMAT)、四(乙基甲胺基)钛(TEMAT)、四(二乙胺基)钛(TDEAT)或其衍生物。
如此处所述用于沉积材料的钨前驱物包括双(第三丁基亚胺基)-双(二甲胺基)钨((tBuN)2W(NMe2)2)、双(第三丁基亚胺基)-双(二乙胺基)钨((tBuN)2W(NEt2)2)、双(第三丁基亚胺基)-双(乙基甲胺基)钨((tBuN)2W(NEtMe)2)或其衍生物。
用作铪前驱物的烷胺铪化合物包括(RR’N)4Hf,其中每一R或R’为独立的氢、甲基、乙基、丙基、丁基、戊基或其异构物。如此处所述用于沉积材料的铪前驱物包括四(二乙胺基)铪((Et2N)4Hf,TDEAH)、四(二甲胺基)铪((Me2N)4Hf,TDMAH)、四(乙基甲胺基)铪((EtMeN)4Hf,TEMAH)、四甲氧铪((MeO)4Hf)、四乙氧铪((EtO)4Hf)、四丙氧铪((PrO)4Hf)、四丁氧铪((BuO)4Hf)、其异构物或其衍生物。其他铪前驱物可包括氯化铪(HfCl4)、碘化铪(HfI4)、(tBuC5H4)2HfCl2、(C5H5)2HfCl2、(EtC5H4)2HfCl2、(Me5C5)2HfCl2、(Me5C5)HfCl3、(1PrC5H4)2HfCl2、(iPrC5H4)HfCl3、(tBuC5H4)2HfMe2、(acac)4Hf、(hfac)4Hf、(tfac)4Hf、(thd)4Hf、(NO3)4Hf或其衍生物。
用作锆前驱物的烷胺锆化合物包括(RR’N)4Zr,其中每一R或R’为独立的氢、甲基、乙基、丙基、丁基、戊基或其异构物。如此处所述用于沉积材料的锆前驱物包括四(二乙胺基)锆((Et2N)4Zr)、四(二甲胺基)锆((Me2N)4Zr)、四(乙基甲胺基)锆((EtMeN)4Zr)、四甲氧锆((MeO)4Zr)、四乙氧锆((EtO)4Zr)、四丙氧锆((PrO)4Zr)、四丁氧锆((BuO)4Zr)、其异构物或其衍生物。其他锆前驱物可包括氯化锆(ZrCl4)、碘化锆(ZrI4)、(tBuC5H4)2ZrCl2、(C5H5)2ZrCl2、(EtC5H4)2ZrCl2、(Me5C5)2ZrCl2、(Me5C5)ZrCl3、(iPrC5H4)2ZrCl2、(iPrC5H4)ZrCl3、(tBuC5H4)2ZrMe2、(acac)4Zr、(Zrac)4Zr、(tfac)4Zr、(thd)4Zr、(NO3)4Zr或其衍生物。
如此处所述用于沉积材料的铝前驱物包括甲氧铝((MeO)3Al)、乙氧铝((EtO)3Al)、丙氧铝((PrO)3Al)、丁氧铝((BuO)3Al)或其衍生物。
如此处所述用于沉积材料的硅前驱物包括硅烷化合物、烷胺基硅烷化合物、硅醇、或烷氧基硅烷化合物和其他含硅的化合物。用作硅前驱物的烷胺基硅烷化合物包括(RR’N)4-nSiHn,其中R或R’为独立的氢、甲基、乙基、丙基、丁基、戊基、其异构物或其衍生物,且n为0、1、2或3。烷氧基硅烷化合物可由一般性的化学式(RO)4-nSiLn来叙述,其中R为甲基、乙基、丙基、丁基、戊基、其异构物或其衍生物,且L为氢、氢氧基、氟、氯、溴、碘、甲基、乙基、丙基、丁基或其混合物,且n为0、1、2或3。硅前驱物可包括四(二甲胺基)硅烷((Me2N)4Si,DMAS)、三(二甲胺基)硅烷((Me2N)3SiH,三DMAS)、双(二甲胺基)硅烷((Me2N)2SiH2)、二甲胺基硅烷((Me2N)SiH3)、四(二乙胺基)硅烷((Et2N)4Si))、三(二乙胺基)硅烷((Et2N)3SiH)、四(甲基乙胺基)硅烷((MeEtN)4Si)、三(甲基乙胺基)硅烷((MeEtN)3SiH)、四甲氧基硅烷((MeO)4Si)、四乙氧基硅烷((EtO)4Si)、其异构物、其衍生物或其组合。此处所述可用在气相沉积工艺的其他硅前驱物包括硅烷(SiH4)、二硅烷(Si2H6)、四氯化硅(SiCl4)、六氯二硅烷(Si2Cl6)、四异氰酸酯基硅烷(Si(NCO)4)、三异氰酸酯基甲硅烷(MeSi(NCO)3)或其衍生物。
在另一实施例中,用来在此处所述的沉积工艺期间形成钌材料的钌前驱物族包括吡咯基钌前驱物。在工艺腔室及/或基板的预处理工艺期间,处理气体内的氢化配体化合物可为氢化吡咯基配体、吡啶或其衍生物。在一范例中,吡咯基钌前驱物含有钌和至少一个吡咯基配体或至少一个吡咯基衍生物配体。吡咯基钌前驱物可具有吡咯基配体,例如,举例来说:
其中R1、R2、R3、R4和R5为各自独立地无配体、氢、烷基(例如,甲基、乙基、丙基、丁基、戊基或更高)、胺基、烷氧基、醇基、芳香基、另一吡咯基(例如,2,2’-双吡咯基)、吡唑基、其衍生物或其组合。吡咯基配体可具有任何二或多个以化学基连接在一起的R1、R2、R3、R4和R5。举例来说,R2和R3可为环结构(例如吲哚基或其衍生物)的一部分。如此处所用的吡咯基钌前驱物指的是任何化学化合物,其含有钌和至少一个吡咯基配体或至少一个吡咯基配体的衍生物。在某些范例中,吡咯基钌前驱物可包括双(四甲基吡咯基)钌、双(2,5-二甲基吡咯基)钌、双(2,5-二乙基吡咯基)钌、双(四乙基吡咯基)钌、戊二烯基四甲基吡咯基钌、戊二烯基2,5-二甲基吡咯基钌、戊二烯基四乙基吡咯基钌、戊二烯基2,5-二乙基吡咯基钌、1,3-二甲基戊二烯基吡咯基钌、1,3-二乙基戊二烯基吡咯基钌、甲基环戊二烯基吡咯基钌、乙基环戊二烯基吡咯基钌、2-甲基吡咯基吡咯基钌、2-乙基吡咯基吡咯基钌或其衍生物。
此处所用的吡咯基配体可缩写为“py”,且吡咯基衍生物配体可缩写为“R-py”。用来在此处所述的沉积工艺期间形成钌材料的示范吡咯基钌前驱物含有烷基吡咯基钌前驱物(例如,(Rx-py)Ru)、双(吡咯基)钌前驱物(例如,(py)2Ru)、二苯基吡咯基钌前驱物(例如,(Cp)(py)Ru)。烷基吡咯基钌前驱物的范例包括甲基吡咯基钌、乙基吡咯基钌、丙基吡咯基钌、二甲基吡咯基钌、二乙基吡咯基钌、二丙基吡咯基钌、三甲基吡咯基钌、三乙基吡咯基钌、四甲基吡咯基钌、四乙基吡咯基钌或其衍生物。双(吡咯基)钌前驱物的范例包括双(吡咯基)钌、双(甲基吡咯基)钌、双(乙基吡咯基)钌、双(丙基吡咯基)钌、双(二甲基吡咯基)钌、双(二乙基吡咯基)钌、双(二丙基吡咯基)钌、双(三甲基吡咯基)钌、双(三乙基吡咯基)钌、双(四甲基吡咯基)钌、双(四乙基吡咯基)钌、甲基吡咯基吡咯基钌、乙基吡咯基吡咯基钌、丙基吡咯基吡咯基钌、二甲基吡咯基吡咯基钌、二乙基吡咯基吡咯基钌、二丙基吡咯基吡咯基钌、三甲基吡咯基吡咯基钌、三乙基吡咯基吡咯基钌、四甲基吡咯基吡咯基钌、四乙基吡咯基吡咯基钌或其衍生物。
二苯基吡咯基钌前驱物含有至少一个二苯基配体和至少一个吡咯基配体。二苯基配体可含有碳主链,其具有少至四个碳原子和多至约十个碳原子,较佳的是约五或六个。二苯基配体可具有环结构(例如,环戊二烯基)或可为开烷基链(例如,戊二烯基)。同样地,二苯基配体可不含有烷基,含有一烷基或许多烷基。
在一实施例中,二苯基吡咯基钌前驱物含有戊二烯基配体或烷基戊二烯基配体。戊二烯基吡咯基钌前驱物的范例包括戊二烯基吡咯基钌、戊二烯基甲基吡咯基钌、戊二烯基乙基吡咯基钌、戊二烯基丙基吡咯基钌、戊二烯基二甲基吡咯基钌、戊二烯基二乙基吡咯基钌、戊二烯基二丙基吡咯基钌、戊二烯基三甲基吡咯基钌、戊二烯基三乙基吡咯基钌、戊二烯基四甲基吡咯基钌、戊二烯基四乙基吡咯基钌或其衍生物。烷基戊二烯基吡咯基钌前驱物的范例包括烷基戊二烯基吡咯基钌、烷基戊二烯基甲基吡咯基钌、烷基戊二烯基乙基吡咯基钌、烷基戊二烯基丙基吡咯基钌、烷基戊二烯基二甲基吡咯基钌、烷基戊二烯基二乙基吡咯基钌、烷基戊二烯基二丙基吡咯基钌、烷基戊二烯基三甲基吡咯基钌、烷基戊二烯基三乙基吡咯基钌、烷基戊二烯基四甲基吡咯基钌、烷基戊二烯基四乙基吡咯基钌或其衍生物。
在另一实施例中,二苯基吡咯基钌前驱物含有环戊二烯基配体或烷基环戊二烯基配体。环戊二烯基吡咯基钌前驱物的范例含有环戊二烯基吡咯基钌、环戊二烯基甲基吡咯基钌、环戊二烯基乙基吡咯基钌、环戊二烯基丙基吡咯基钌、环戊二烯基二甲基吡咯基钌、环戊二烯基二乙基吡咯基钌、环戊二烯基二丙基吡咯基钌、环戊二烯基三甲基吡咯基钌、环戊二烯基三乙基吡咯基钌、环戊二烯基四甲基吡咯基钌、环戊二烯基四乙基吡咯基钌或其衍生物。烷基环戊二烯基吡咯基钌前驱物的范例包括烷基环戊二烯基吡咯基钌、烷基环戊二烯基甲基吡咯基钌、烷基环戊二烯基乙基吡咯基钌、烷基环戊二烯基丙基吡咯基钌、烷基环戊二烯基二甲基吡咯基钌、烷基环戊二烯基二乙基吡咯基钌、烷基环戊二烯基二丙基吡咯基钌、烷基环戊二烯基三甲基吡咯基钌、烷基环戊二烯基三乙基吡咯基钌、烷基环戊二烯基四甲基吡咯基钌、烷基环戊二烯基四乙基吡咯基钌或其衍生物。
在另一实施例中,钌前驱物可不含有吡咯基配体或吡咯基衍生物配体,取而代之的是含有至少一个开链二苯基配体,例如,CH2CRCHCRCH2,其中R为独立的烷基或氢。钌前驱物可具有两个开链二苯基配体,例如,戊二烯基或庚二烯基。双(戊二烯基)钌化合物具有一般性的化学式(CH2CRCHCRCH2)2Ru,其中R为独立的烷基或氢。通常,R为独立的氢、甲基、乙基、丙基或丁基。因此,钌前驱物可包括双(二烷基戊二烯基)钌化合物、双(烷基戊二烯基)钌化合物、双(戊二烯基)钌化合物或其组合。钌前驱物的范例包括双(2,4-二甲基戊二烯基)钌、双(2,4-二乙基戊二烯基)钌、双(2,4-二异丙基戊二烯基)钌、双(2,4-二第三丁基戊二烯基)钌、双(甲基戊二烯基)钌、双(乙基戊二烯基)钌、双(异丙基戊二烯基)钌、双(第三丁基戊二烯基)钌、其衍生物或其组合。在某些实施例中,其他钌前驱物包括三(2,2,6,6-四甲基-3,5-庚二酮酸)钌、二羰基戊二烯基钌、乙酰丙酮酸钌、2,4-二甲基戊二烯基环戊二烯基钌、双(2,2,6,6-四甲基-3,5-庚二酮酸)(1,5-环辛二烯)钌、2,4-二甲基戊二烯基甲基环戊二烯基钌、1,5-环辛二烯环戊二烯基钌、1,5-环辛二烯甲基环戊二烯基钌、1,5-环辛二烯乙基环戊二烯基钌、2,4-二甲基戊二烯基乙基环戊二烯基钌、2,4-二甲基戊二烯基异丙基环戊二烯基钌、双(N,N-二甲基1,3-四甲基二亚胺基)1,5-环辛二烯钌、双(N,N-二甲基1,3-二甲基二亚胺基)1,5-环辛二烯钌、双(烯丙基)1,5-环辛二烯钌、η6-C6H61,3-环己二烯钌、双(1,1-二甲基-2-胺基乙氧基)1,5-环辛二烯钌、双(1,1-二甲基-2-胺基乙基胺)1,5-环辛二烯钌、双(环戊二烯基)钌、双(甲基环戊二烯基)钌、双(乙基环戊二烯基)钌、和双(五甲基环戊二烯基)钌或其衍生物。
如此处所述用于沉积材料的钴前驱物包括钴羰基复合物、钴脒化合物、双环戊二烯钴化合物、钴二苯基复合物、钴亚硝基复合物、其衍生物、其复合物、其等离子或其组合。在某些实施例中,钴材料可通过CVD和ALD工艺来沉积,其进一步在共同受让的美国专利第7,1164,846和7,404,985号中叙述,两专利并入此处以供参照。
在某些实施例中,钴羰基化合物或复合物可用作钴前驱物。钴羰基化合物或复合物具有一般性的化学式(CO)xCoyLz,其中X可为1、2、3、4、5、6、7、8、9、10、11或12,Y可为1、2、3、4或5,且Z可为1、2、3、4、5、6、7或8。L基为无配体、一配体或多个配体,其可为相同配体或不同配体,并包括环戊二烯基、烷基环戊二烯基(例如,甲基环戊二烯基或五甲基环戊二烯基)、戊二烯基、烷基戊二烯基、环丁二烯基、丁二烯基、乙烯、丙烯基(或丙烯)、烯烃、二烯烃、炔、乙炔、丁基乙炔、亚硝基、氨或其衍生物。
在一实施例中,二钴六羰基乙酰化合物可用于在沉积工艺期间形成钴材料(例如,钴层220)。二钴六羰基乙酰化合物可具有化学式(CO)6Co2(RC≡CR′),其中R和R’为独立的氢、甲基、乙基、丙基、异丙基、丁基、第三丁基、戊基、苯甲基、芳香基、其异构物、其衍生物或其组合。在一范例中,二钴六羰基丁基乙炔(CCTBA,(CO)6Co2(HC≡CtBu))为钴前驱物。二钴六羰基乙酰化合物的其他范例包括二钴六羰基甲基丁基乙炔((CO)6Co2(MeC≡CtBu))、二钴六羰基苯基乙炔((CO)6Co2(HC≡CPh))、二钴六羰基甲基苯基乙炔((CO)6Co2(MeC≡CPh))、二钴六羰基甲基乙炔((CO)6Co2(HC≡CMe))、二钴六羰基二甲基乙炔((CO)6Co2(MeC≡CMe))、其衍生物、其复合物、其等离子或其组合。其他示范的钴羰基复合物包括环戊二烯基钴双(羰基)(CpCo(CO)2)、三羰基丙烯基钴((CO)3Co(CH2CH=CH2))或其衍生物。
在另一实施例中,钴脒或钴胺基复合物可用作钴前驱物。钴胺基复合物具有一般性的化学式(RR’N)xCo,其中X可为1、2或3,且R和R’为独立的氢、甲基、乙基、丙基、丁基、烷基、硅烷基、烷基硅烷基、其衍生物或其组合。某些示范的钴胺基复合物包括双(二(丁基二甲基硅烷基)胺基)钴(((BuMe2Si)2N)2Co)、双(二(乙基二甲基系烷基)胺基)钴(((EtMe2Si)2N)2Co)、双(二(丙基二甲基硅烷基)胺基)钴(((PrMe2Si)2N)2Co)、双(二(三甲基硅烷基)胺基)钴(((Me3Si)2N)2Co)、三(二(三甲基硅烷基)胺基)钴(((Me3Si)2N)3Co)或其衍生物。
某些示范的钴前驱物包括甲基环戊二烯基钴双(羰基)(MeCpCo(CO)2)、乙基环戊二烯基钴双(羰基)(EtCpCo(CO)2)、五甲基环戊二烯基钴双(羰基)(Me5CpCo(CO)2)、二钴八(羰基)(Co2(CO)8)、亚硝基钴三(羰基)((ON)Co(CO)3)、双(环戊二烯基)钴、(环戊二烯基)钴(环己二烯基)、环戊二烯基钴(1,3-己二烯基)、(环丁二烯基)钴(环戊二烯基)、双(甲基环戊二烯基)钴、(环戊二烯基)钴(5-甲基环戊二烯基)、双(乙烯)钴(五甲基环戊二烯基)、碘化四羰钴、四羰钴三氯硅烷、氯化羰基三(三甲基膦)钴、钴三羰基-氢三丁基膦、乙炔二钴六羰基、乙炔二钴五羰基三乙基膦、其衍生物、其复合物、其等离子或其组合。
氮前驱物可用来沉积氮化物或含氮材料。如此处叙述用于沉积材料的氮前驱物含有氨(NH3)、肼(N2H4)、甲基肼((CH3)HN2H2)、二甲基肼((CH3)2N2H2)、第三丁基肼(C4H9N2H3)、苯基肼(C6H5N2H3)、其他肼衍生物、胺类、氮等离子源(例如,N2、原子氮、N2/H2、NH3或N2H4等离子)、2,2’-偶氮三级丁烷((CH3)6C2N2)、有机或烷基迭氮化物(例如,甲基迭氮化物(CH3N3)、乙基迭氮化物(C2H5N3)、三甲基硅烷基迭氮化物(Me3SiN3)、无机迭氮化物(例如,NaN3或Cp2CoN3))和其他适当的氮源。自由基氮化合物,例如,N3、N2、N、NH或NH2,可通过热、热线、原位等离子或远端等离子来制造。在一范例中,氮前驱物为氨。在另一范例中,氮前驱物含有原位或由远端等离子系统形成的氮等离子。
可用于沉积不同材料的其他反应气体包括氮化钽、包括氧源的含钽材料,和还原剂。含钽材料,例如,钽硅酸盐、氧化钽或氧氮化钽,可以将氧源加至气相沉积(例如,ALD或CVD)工艺来形成。氧源或氧前驱物含有原子氧、O2、O3、H2O、H2O2、有机过氧化物、其衍生物或其组合。还原化合物可包括在气相沉积工艺中,以形成钽前驱物,例如,金属钽、氮化钽硼或氮化钽磷。还原化合物包括硼烷(BH3)、二硼烷(B2H6)、烷基硼烷(例如,Et3B)、膦(PH3)、氢(H2)、其衍生物或其组合。
工艺腔室(例如ALD腔室)的详细叙述在共同受让的美国专利第6,916,398号和2002年10月25日提出申请并公开在美国专利公开第2003-0121608号的美国专利申请案第10/281,079号中记载,其全文并入于此以供参照。在一实施例中,使用等离子增强ALD(PE-ALD)工艺来沉积钽材料。执行PE-ALD的腔室和工艺进一步在共同受让的美国专利第6,998,014号中记载,其全文并入于此以供参照。预热前驱物(例如PDMAT或TAIMATA)的汽化器或安瓿的详细叙述在共同受让的美国专利第6,915,592和7,186,385号中记载,其全文并入于此以供参照。输送前驱物(例如PDMAT或TAIMATA)至工艺腔室的系统的详细叙述在共同受让的美国专利第6,955,211号和2003年11月3日提出申请并公开为美国专利公开第2005-0095859号的美国专利申请案第10/700,328号中记载,其全文并入于此以供参照。
收敛-发散盖体组件
图3A至3C为工艺腔室1100的示意图,其包括气体输送系统1130,其适于ALD工艺,如在此处实施例中所述。工艺腔室1100含有腔室主体1102,其具有侧壁1104和底部1106。工艺腔室1100中的狭缝阀1108提供机械臂(未图示)往返工艺腔室1100的通道,以输送并取回基板1110(例如,200mm或300mm半导体晶圆或玻璃基板)。可与此处所述的预处理工艺和沉积工艺并用的工艺腔室的详细揭示可在共同受让的美国专利第6,916,398和7,204,886号以及2007年10月24日提出申请并公开为美国专利公报第2008-0102208号的美国专利申请案第11/923,583号中找到,其全文并入于此以供参照。
基板支撑1112在工艺腔室1100的基板接收表面1111上支撑基板1110。基板支撑1112装配至升降马达1114,以用于升起和降下基板支撑1112与配置其上的基板1110。连接至升降马达1118的升降平板1116装配在工艺腔室1100中,并升起和降下可移动地配置通过基板支撑1112的升降销1120。升降销1120在基板支撑1112的表面上方升起和降下基板1110。基板支撑1112可包括真空夹盘(未图示)、静电夹盘(未图示)或夹圈(未图示),以在沉积工艺期间将基板1110固定至基板支撑1112。
可调整基板支撑1112的温度,以控制配置其上的基板1110的温度。举例来说,基板支撑1112可使用嵌入式加热元件(例如,电阻加热器(未图示))加热,或可使用辐射热(例如,配置在基板支撑1112上方的加热灯(未图示))加热。净化环1122可配置在基板支撑1112上,以限定净化槽道1124,其提供净化气体给基板1110的周围部分,以防止其上的沉积。
气体输送系统1130配置在腔室主体1102的上部,以提供气体(例如,工艺气体及/或净化气体)给工艺腔室1100。图3A至3C绘示气体输送系统1130,其装配为将基板1110暴露于至少两个气源或化学前驱物。在其他范例中,气体输送系统1130可重新装配为将基板1110暴露至单一气源或三或多个气源或化学前驱物。真空系统1178与抽泵槽道1179相通,以从工艺腔室1100排空任何所需气体,并帮助在工艺腔室1100的抽泵区1166内侧维持所需压力或所需压力范围。
在一实施例中,气体输送系统1130含有腔室盖体组件1132,其具有气体分散槽道1128,该槽道延伸通过腔室盖体组件1132的中心部分。气体分散槽道1128垂直基板接收表面1111延伸,且也沿着气体分散槽道1128的中心轴1133延伸、通过盖体平板1170、到下表面1160。收敛槽道1134a为气体分散槽道1128的一部分,其在气体分散槽道1128的上部1137内部朝中心轴1133逐渐变细。发散槽道1134b为气体分散槽道1128的一部分,其在气体分散槽道1128的下部1135内部远离中心轴1133逐渐变细。节流阀1131为窄通道,其分隔收敛槽道1134a和发散槽道1134b。气体分散槽道1128进一步延伸通过下表面1160并进入反应区1164。下表面1160从发散槽道1134延伸到扼流器1162。下表面1160按一定尺寸制作并成形,以本质上覆盖配置在基板支撑1112的基板接收表面1111上的基板1110。
与类似配置的缺少节流阀1131的工艺腔室相比,工艺气体,如循环气流1174,在通过节流阀1131时,被迫环绕气体分散槽道1128的中心轴1133做出更多回转。循环气流1174可包含例如,漩涡模式、螺旋模式、螺线模式、旋转模式、扭转模式、线圈模式、涡流模式或其衍生物的流动模式。循环气流1174可环绕气体分散槽道1128的中心轴1133延伸至少约1回转,较佳的是至少约1.5回转,更佳的是至少约2回转,更佳的是至少约3回转,更佳的是约4回转或更多。
气体分散槽道1128具有气体入口1136a、1136b,以提供来自两对类似阀1142a/1152a、1142b/1152b的气流,这两对类似阀可设置在一起及/或分开设置。在一种构造中,阀1142a和阀1142b耦合至个别的反应物气源,但较佳的是耦合至相同的净化气源。举例来说,阀1142a耦合至反应物气源1138,且阀1142b耦合至反应物气源1139,而两个阀1142a、1142b耦合至净化气源1140。每一阀1142a、1142b包括输送接线1143a、1143b,其具有阀座组件1144a、1144b,且每一阀1152a、1152b包括净化接线1145a、1145b,其具有阀座组件1146a、1146b。输送接线1143a、1143b与反应物气源1138、1143流体相通,并与气体分散槽道1128的气体入口1136a、1136b流体相通。输送接线1143a、1143b的阀座组件1144a、1144b控制从反应物气源1138、1143至气体分散槽道1128的反应物气流。净化接线1145a、1145b与净化气源1140流体相通,并在输送接线1143a、1143b的阀座组件1144a、1144b的下游与输送接线1143a、1143b相交。净化接线1145a、1145b的阀座组件1146a、1146b控制从净化气源1140至气体分散槽道1128的净化气流。如果使用载气从反应物气源1138、1143输送反应物气体,可使用相同气体作为载气和净化气体(例如,使用氩作为载气和净化气体)。
每一阀座组件1144a、1144b、1146a、1146b可包含膜片(未图示)和阀座(未图示)。膜片可偏置为开启或闭合,并可分别致动为闭合或开启。膜片可气动致动或可以电力致动。气动致动阀包括可从Fujikin公司和Parker Hannifin公司Veriflo部门购得的气动致动阀。电力致动阀包括可从Fujikin公司购得的电力致动阀。举例来说,可使用的ALD阀为Fujikin型号FPR-UDDFAT-21-6.35-PI-ASN或Fujikin型号FPR-NHDT-21-6.35-PA-AYT。可编程逻辑控制器1148a、1148b可耦合至阀1142a、1142b,以控制阀1142a、1142b的阀座组件1144a、1144b、1146a、1146b的膜片致动。气动致动阀可以按照低至约0.020秒的时间周期提供气体脉冲。电力致动阀可以按照低至约0.005秒的时间周期提供气体脉冲。电力致动阀典型需要使用耦合在阀和可编程逻辑控制器之间的驱动器。
每一阀1142a、1142b可为零失效容积阀,以在阀座组件1144a、1144b闭合时,能冲洗来自输送接线1143a、1143b的反应物气体。举例来说,净化接线1145a、1145b可设置为邻近输送接线1143a、1143b的阀座组件1144a、1144b。当阀座组件1144a、1144b闭合时,净化接线1145a、1145b可提供净化气体,以冲洗输送接线1143a、1143b。在一实施例中,净化接线1145a、1145b设置为稍微与输送接线1143a、1143b的阀座组件1144a、1144b隔开,以便在开启时,净化气体不会直接输送至阀座组件1144a、1144b中。如此处所用的零失效容积阀是定义为具有可忽略的失效容积的阀(例如,没有必要是零失效容积)。
每一对阀1142a/1152a、1142b/1152b可适于提供反应物气体和净化气体的组合气流及/或个别气流。关于一对阀1142a/1152a,反应物气体和净化气体的组合气流的一个范例包括通过净化接线1145a的来自净化气源1140的净化气体连续流,以及通过输送接线1143a的来自反应物气源1138的反应物气体脉冲。净化气体的连续流可通过使净化接线1145a的阀座组件1146a的膜片处于开启状态来提供。来自反应物气源1138的反应物气体脉冲可通过开启与闭合输送接线1143a的阀座组件1144a的膜片来提供。关于一对阀1142a/1152a,反应物气体和净化气体的个别气流的一个范例包括通过净化接线1145a的来自净化气源1140的净化气体脉冲,以及通过输送接线1143a的来自反应物气源1138的反应物气体脉冲。净化气体的脉冲可通过开启与闭合净化接线1145a的阀座组件1146a的膜片来提供。来自反应物气源1138的反应物气体脉冲可通过开启与闭合输送接线1143a的阀座组件1144a的膜片来提供。
阀1142a、1142b的输送接线1143a、1143b可通过气体导管1150a、1150b耦合至气体入口1136a、1136b。气体导管1150a、1150b可与阀1142a、1142b集成或可与之分开。在一实施态样中,阀1142a、1142b紧密相邻地耦合至气体分散槽道1128,以减小介于阀1142a、1142b和气体入口1136a、1136b间的输送接线1143a、1143b和气体导管1150a、1150b的任何不必要的容积。
图3C绘示每一气体导管1150a和1150b与气体入口1136a和1136b,其以数种角度相对气体分散槽道1128的中心轴1133设置。每一气体导管11S0a、1150b与气体入口1136a、1136b较佳的是设置为垂直(其中+β,-β=90°)中心轴1133,或放置为从气体导管1150a、1150b的中心线1176a和1176b至中心轴1133为角度+β或角度-β(其中0°<+β<90°或0°<-β<90°)。因此,气体导管1150a、1150b可水平设置为垂直中心轴1133,并可向下呈角度+β或可向上呈角度-β,以提供朝气体分散槽道1128壁的气流,而非直接向下朝向基板1110,其帮助降低吹掉吸附在基板1110表面上的反应物的可能性。此外,气体导管1150a、1150b的直径可从阀1142a、1142b的输送接线1143a、1143b至气体入口1136a、1136b增加,以在气流进入气体分散槽道1128前,帮助减低其速度。举例来说,气体导管1150a、1150b可具有逐渐增加的内径,或可包含复数个具有增加的内径的连接导管。
图3C绘示气体分散槽道1128,其含有在收敛槽道1134a内部从上部1137沿着中心轴1133至节流阀1131减少的内径。同样地,气体分散槽道1128含有在发散槽道1134b内部从节流阀1131沿着中心轴1133至邻近腔室盖体组件1132的下表面1160的下部1135增加的内径。在一范例中,适于处理100mm直径基板的工艺腔室1100可具有下列直径。在气体分散槽道1128的上部1137的直径可在约0.5英寸至约2英寸的范围内,较佳的是从约0.75英寸至约1.5英寸,更佳的是从0.8英寸至约1.2英寸,举例来说,约1英寸。气体分散槽道1128的节流阀1131的直径可在约0.1英寸至约1.5英寸的范围内,较佳的是从约0.3英寸至约0.9英寸,更佳的是从0.5英寸至约0.8英寸,举例来说,约0.66英寸。气体分散槽道1128的下部1135的直径可在约0.5英寸至约2英寸的范围内,较佳的是从约0.75英寸至约1.5英寸,更佳的是从0.8英寸至约1.2英寸,举例来说,约1英寸。
一般而言,上述应用至气体分散槽道1128的尺寸适于提供约500sccm至约20slm的范围内的总气体流速。在其他特定的实施例中,可改变尺寸以适应通过其中某一气流。一般而言,较大的气流将需要较大的气体分散槽道1128的直径。
不希望受理论约束,认为气体分散槽道1128的逐渐从气体分散槽道1128的上部1137至节流阀1131减小并从节流阀1131至气体分散槽道1128的下部1135增加的直径,允许通过气体分散槽道1128的气体的较少绝热膨胀,其帮助控制在循环气流1174中所含有的工艺气体的温度。举例来说,通过气体入口1136a、1136b输送至气体分散槽道1128中的气体的突然绝热膨胀可导致气体的温度下降,其可导致气体冷凝并形成小滴。另一方面,认为逐渐变细的气体分散槽道1128提供较少的气体绝热膨胀。因此,可将更多热传递往返气体,且因此,气体温度可更容易通过控制气体的外围温度(例如,控制腔室盖体组件1132的温度)来控制。气体分散槽道1128可逐渐变细,并含有一或多个逐渐变细的内表面,例如,逐渐变细的直表面、凹表面、凸表面或其组合,并可含有一或多段逐渐变细的内表面(例如,一部分逐渐变细而一部分没有逐渐变细)。
在一实施例中,气体入口1136a、1136b位于邻近气体分散槽道1128的上部1137处。在其他实施例中,一或多个气体入口1136a、1136b可沿着气体分散槽道1128的长度位于上部1137和下部1135之间。
每一气体导管1150a、1150b可以按照偏离气体导管1150a、1150b的中心线以及偏离气体分散槽道1128的半径线的角度α设置,类似于图3C所绘示的每一气体导管1150a和1150b可以偏离气体导管1150a和1150b的中心线1176a和1176b以及偏离气体分散槽道1128中心的半径线的角度α设置。通过较佳地以角度α(例如,当α>0°)设置的气体导管1150a、1150b使气体进入导致气体以循环方向流动,如循环气流1174所示(图3B至3C)。相对于直接直上到膨胀槽道的壁(例如,当α=0°),以角度α提供气体帮助提供通过气体分散槽道1128的更多层流而非紊流。认为通过气体分散槽道1128的层流导致气体分散槽道1128的内表面和腔室盖体组件1132的其他表面的改善净化。相较之下,紊流可不均匀地流过气体分散槽道1128的内表面和其他表面,并可含有在其中没有气流的失效点或停滞点。在一实施态样中,气体导管1150a、1150b和对应的气体入口1136a、1136b彼此隔开,并以相同的循环方向引导气流(例如,顺时针或反时针)。
不希望受理论约束,图3C为腔室盖体组件1132的气体分散槽道1128的横剖面图,其显示通过其中的气流的简化示意图。虽然未知通过气体分散槽道1128的确切流动模式,但认为循环气流1174(图3B至3C)可以按照循环流动模式行进通过气体分散槽道1128,例如,漩涡流动、螺旋流动、螺线流动、涡漩流动、旋转流动、扭转流动、线圈流动、螺旋状流动、卷曲流动、涡流流动、其衍生物或其组合。如图3C所示,相对于在与基板1110分隔的隔室中提供循环流,可在“处理区域”中提供循环流。在一实施态样中,由于漩涡流动模式在气体分散槽道1128的内表面各处的清扫动作,循环气流1174可帮助建立气体分散槽道1128的更有效率的净化。
在一实施例中,图3C绘示介于气体入口1136a、1136b和基板1110之间的距离1175,其足够长,以致由于不需要在基板1110的表面各处的螺线流,循环气流1174消散成向下流。认为循环气流1174以层状方式继续进行有效净化腔室盖体组件1132和基板1110的表面。在一特定实施例中,气体分散槽道1128的上部1137和基板1110间的距离1175的长度可在约3英寸至约8英寸的范围内,较佳的是从约3.5英寸至约7英寸,更佳的是从约4英寸至约6英寸,例如,约5英寸。
在气体分散槽道1128的上部1137和节流阀1131之间的距离1177a作为盖体帽1172内部沿着中心轴1133的收敛槽道1134a的长度,且在节流阀1131和盖体帽1172的下表面1173之间的距离1177b作为盖体帽1172内部沿着中心轴1133的发散槽道1134b的长度。在一范例中,距离1177a可具有从约1英寸至约4英寸的范围内的长度,较佳的是从约1.25英寸至约3英寸,更佳的是从约1.5英寸至约2.5英寸,举例来说,约2英寸;且距离1177b可具有从约0.5英寸至约4英寸的范围内的长度,较佳的是从约1英寸至约3英寸,更佳的是从约1.25英寸至约1.75英寸,举例来说,约1.5英寸。
图3A绘示腔室盖体组件1132的下表面1160的至少一部分可从气体分散槽道1128至腔室盖体组件1132的周围部分逐渐变细,以帮助提供在基板1110表面各处的来自气体分散槽道1128的气流的改善的速度曲线(例如,从基板中心至基板边缘)。下表面1160可含有一或多个逐渐变细的表面,例如,直表面、凹表面、凸表面或其组合。在一实施例中,下表面1160以漏斗形逐渐变细。
在一范例中,下表面1160向下倾斜,以帮助减少在腔室盖体组件1132的下表面1160和基板1110间行进的工艺气体的速度变异,同时协助基板1110的表面均匀暴露至反应物气体。在一实施例中,腔室盖体组件1132的向下倾斜的下表面1160和基板1110的表面间的流动截面的最大面积和流动截面的最小面积比小于约2,较佳的是小于约1.5,更佳的是小于约1.3,更佳的是约1。
不希望受理论约束,认为以更均匀的速度在基板1110表面各处行进的气流帮助提供气体在基板1110上的更均匀的沉积。认为气体速度直接与气体浓度成比例,气体浓度进而直接与气体在基板1110表面上的沉积率成比例。因此,认为相对基板1110表面的第二区域,在基板1110表面的第一区域的更高的气体速度提供了气体在第一区域上的更高沉积。认为具有向下倾斜的下表面1160的腔室盖体组件1132在基板1110的表面各处提供更均匀的气体沉积,因为下表面1160在基板1110的表面各处提供更均匀的速度,从而提供更均匀的气体浓度。
图3A绘示扼流器1162,其位于腔室盖体组件1132的周围部分并邻近基板1110的周围。当组装腔室盖体组件1132以形成环绕基板1110的工艺区时,扼流器1162包含任何在邻近基板1110周围的区域限制通过其中的气流的构件。
在一特定实施例中,扼流器1162和基板支撑1112之间的间隔可在约0.04英寸至约2.0英寸的范围内,较佳的是从约0.04英寸至约0.2英寸。间隔可依沉积期间所输送的气体和工艺条件来变化。扼流器1162通过将反应区1164与抽泵区1166(图3A)的不均匀的压力分布隔离,而帮助提供限定在腔室盖体组件1132和基板1110之间的容积或反应区1164内部的更均匀的压力分布。
参照图3A,在一实施态样中,由于反应区1164与抽泵区1166隔离,反应物气体或净化气体仅需要适当填充反应区1164,以确保基板1110足够暴露至反应物气体或净化气体。在常规的CVD中,先前技术的腔室必须同时而均匀地提供反应物的结合流给整个基板表面,以确保反应物的共同反应在基板1110表面各处均匀发生。在ALD中,工艺腔室1100循序将反应物引至基板1110表面,以在基板1110的表面上提供交替的反应物薄层的吸附。结果,ALD不需要同时到达基板1110表面的反应物流。取而代之的是必须提供足量的反应物流,以在基板1110的表面上吸附反应物薄层。
如在此处的一实施例中所述,由于与常规CVD腔室的内容积相比时,反应区1164可含有较小容积,针对ALD序列中的特定工艺,需要较小量的气体来填充反应区1164。举例来说,对适于处理200mm直径的基板的腔室而言,反应区1164的容积可为约1000cm3或更小,较佳的是约500cm3或更小,更佳的是约200cm3或更小。在另一范例中,对适于处理100mm直径的基板的腔室而言,反应区1164的容积可为约3000cm3或更小,较佳的是约1500cm3或更小,更佳的是约600cm3或更小。在另一实施例中,基板支撑1112可升起或降下,以调整用于沉积的反应区1164的容积。由于反应区1164的较小容积,需要较少气体,无论是沉积气体或净化气体,流入工艺腔室1100中。因此,工艺腔室1100的产量较大,且由于使用较小量的气体而可最小化废料,从而降低操作成本。
腔室盖体组件1132含有盖体帽1172和盖体平板1170,其中盖体帽1172和盖体平板1170形成气体分散槽道1128,如图3A至3C所绘示。额外的平板可选择性地配置在盖体平板1170和盖体帽1172之间。在其他实施例中,气体分散槽道1128可从单一块材料整体地制成。
视输送通过其中的特定气体而定,腔室盖体组件1132可包括冷却元件及/或加热元件。控制腔室盖体组件1132的温度可用来防止气体分解、沉积或冷凝在腔室盖体组件1132上。举例来说,水槽道(例如,图10A中的冷却剂槽道1090)可形成在腔室盖体组件1132中,以冷却腔室盖体组件1132。在另一范例中,加热元件(未图示)可嵌入或可围绕腔室盖体组件1132的部件,以加热腔室盖体组件1132。在一实施例中,腔室盖体组件1132的部件可个别加热或冷却。举例来说,参照图3A,腔室盖体组件1132可含有盖体平板1170和盖体帽1172,其中盖体平板1170和盖体帽1172形成气体分散槽道1128。盖体帽1172可维持在一温度范围,且盖体平板1170可维持在另一温度范围。举例来说,盖体帽1172可通过被缠绕在加热器带或通过使用另一加热装置来加热,以防止反应物气体冷凝,且盖体平板1170可维持在周围温度。在另一范例中,盖体帽1172可加热,且盖体平板1170可以由透过其中形成的水槽道冷却,以防止反应物气体在盖体平板1170上热分解。
腔室盖体组件1132的部件和零件可含有例如不锈钢、铝、镀镍铝、镍、其合金的材料或其他适当材料。在一实施例中,盖体帽1172和盖体平板1170可独立制造、切削、锻铸或以其他方式由金属制成,例如,铝、铝合金、钢、不锈钢、其合金或其组合。
在一实施例中,气体分散槽道1128的内表面(包括盖体平板1170和盖体帽1172两者的内表面)与腔室盖体组件1132的下表面1160可含有镜面抛光表面,以帮助沿着气体分散槽道1128和腔室盖体组件1132的下表面1160产生气体层流。在另一实施例中,气体导管1150a、1150b的内表面可电解抛光,以帮助产生通过其中的气体层流。
在一替代实施例中,气体分散槽道1128的内表面(包括盖体平板1170和盖体帽1172两者的内表面)与腔室盖体组件1132的下表面1160可含有粗糙表面或切削表面,以在表面各处制造更多表面积。粗糙表面在盖体平板1170和盖体帽1172的内表面与下表面1160上提供不需要积聚的材料的更佳粘着。不需要的薄膜的形成通常是实施气相沉积工艺的结果,并可从下表面1160与气体分散槽道1128的内表面剥离或剥落而污染基板1110。在一范例中,下表面1160及/或气体分散槽道1128的内表面的平均粗糙度(Ra)可为至少约10μin,例如,从约10μin(约0.254μm)至约200μin(约5.08μm)的范围,较佳的是从约20μin(约0.508μm)至约100μin(约2.54μm),更佳的是从约30μin(约0.762μm)至约80μin(约2.032μm)。在另一范例中,下表面1160及/或气体分散槽道1128的内表面的平均粗糙度可为至少约100μin(约2.54μm),较佳的是从约200μin(约5.08μm)至约500μin(约12.7μm)的范围内。
图3A绘示控制单元1180,例如,可编程个人电脑、工作站电脑等,其耦合至工艺腔室1100,以控制处理条件。举例来说,控制单元1180可装配为在基板工艺序列的不同阶段期间控制通过阀1142a和1142b的来自气源1138、1143和1140的不同工艺气流与净化气流。作为说明之用,控制单元1180含有中央处理单元(CPU)1182、辅助电路1184和存储器1186,其含有关联的控制软件1183。
控制单元1180可为任何形式的通用电脑处理器之一,其可用在工业设定中,以控制多种腔室和子处理器。CPU 1182可使用任何适当的存储器1186,例如,随机存取存储器、只读存储器、软盘、硬盘或任何其他形式的数字储存器,局部或远端。多种辅助电路可耦合至CPU 1182,以辅助工艺腔室1100。控制单元1180可耦合至另一控制器,其位置邻近个别的腔室部件,例如,用于阀1142a、1142b的可编程逻辑控制器1148a、1148b。控制单元1180和工艺腔室1100不同的其他部件间的双向相通是透过许多信号电缆来处理,其共同称为信号总线1188,其中一些绘示于图3A。除了控制来自气源1138、1143、1140与来自阀1142a、1142b的可编程逻辑控制器1148a、1148b的工艺气体和净化气体之外,控制单元1180可装配为负责自动化控制用在晶圆处理中的其他活动一例如,晶圆运输、温度控制、腔室排空等,其中一些在此文的别处叙述。
参照图3A至3C,在操作中,基板1110由机械臂(未图示)通过狭缝阀1108输送至工艺腔室1100。基板1110透过升降销1120和机械臂的合作而定位在基板支撑1112上。基板支撑1112升起基板1110,使之进入接近腔室盖体组件1132的下表面1160的相对处。第一气流可由阀1142a注入工艺腔室1100的气体分散槽道1128,其与由阀1142b注入工艺腔室1100的第二气流一起或分别注入。第一气流可含有来自净化气源1140的净化气体连续流与来自反应物气源1138的反应物气体脉冲,或可含有来自反应物气源1138的反应物气体脉冲与来自净化气源1140的净化气体脉冲。第二气流可含有来自净化气源1140的净化气体连续流与来自反应物气源1139的反应物气体脉冲,或可含有来自反应物气源1139的反应物气体脉冲与来自净化气源1140的净化气体脉冲。循环气流1174以漩涡流动行进通过气体分散槽道1128,其提供在气体分散槽道1128的内表面各处的清扫动作。循环气流1174朝基板1110的表面消散成向下流。随着气流行进通过气体分散槽道1128,其速度减小。气流接着在基板1110的表面各处与在腔室盖体组件1132的下表面1160各处行进。腔室盖体组件1132的向下倾斜的下表面1160帮助减少在基板1110表面各处的气流的速度差异。气流接着行进通过扼流器1162并进入工艺腔室1100的抽泵区1166。过量的气体、副产物等流入抽泵槽道1179,并接着由真空系统1178从工艺腔室1100排空。在一实施态样中,气流以层状方式继续行进通过气体分散槽道1128与基板1110的表面和腔室盖体组件1132的下表面1160间,其帮助反应物气体至基板1110表面的均匀暴露,并有效净化腔室盖体组件1132的内表面。
如图3A至3C所绘示,工艺腔室1100已在此处叙述为具有组合的特征结构。在一实施态样中,工艺腔室1100提供反应区1164,与常规的CVD腔室相比,其含有小容积。工艺腔室1100需要较小量的气体,例如,反应物气体或净化气体,以针对特定工艺填充反应区1164。在另一实施态样中,工艺腔室1100提供腔室盖体组件1132,其具有向下倾斜或漏斗形的下表面1160,以减少在腔室盖体组件1132的底表面和基板1110间行进的气流的速度曲线的差异。在又一实施态样中,工艺腔室1100提供气体分散槽道1128,以减少通过其中引入的气流的速度。在再一实施态样中,工艺腔室1100以偏离气体分散槽道1128中心的角度α设置气体导管。工艺腔室1100如此文于别处所述般提供其他特征结构。适于ALD的腔室的其他实施例合并一或多个这些特征结构。
本发明的实施例提供腔室预处理与沉积工艺,其可用于在气相沉积工艺(例如ALD工艺期间)沉积材料。该工艺可用在数种气相沉积工艺腔室和气体输送系统内部,包括膨胀槽道盖体组件、收敛-发散盖体组件、多重注入盖体组件或延伸帽盖体组件。其他实施例提供了在ALD工艺期间使用这些气体输送系统沉积材料的方法。
如图3A至3C所绘示,工艺腔室1100可有利地用于实施ALD或CVD工艺,如此处实施例所述。为了清楚起见,以ALD沉积膜层将参照利用工艺腔室1100的氮化钽层的ALD更详细叙述。在一实施态样中,氮化钽阻障层的ALD包括循序提供钽前驱物脉冲和氮前驱物脉冲给工艺腔室1100,其中每一脉冲以净化气流及/或腔室排空来分隔,以移除任何过量反应物,以防止钽前驱物与氮前驱物的气相反应以及移除任何反应副产物。循序提供钽前驱物和氮前驱物可导致单层钽前驱物和单层氮前驱物的交替吸附,以便对于每一脉冲循环在基板结构上形成单层氮化钽。基板结构一词用于指称基板和其他形成在其上方的材料层,例如,介电层。
认为用于吸附单层反应物(例如钽前驱物和氮前驱物)的吸附工艺的自身限制在于,在给定的脉冲期间仅有一单层可吸附至基板结构的表面上,因为基板结构的表面具有用于吸附反应物的有限数目的位置。一旦反应物,例如,钽前驱物或氮前驱物,占用了有限数目的位置,反应物的进一步吸收将会受阻。可重复该循环至氮化钽层的所需厚度。
本发明的实施例提供预处理工艺,其用于将工艺腔室1100的内表面暴露至处理气体内的氢化配体化合物。在一实施例中,处理气体可从气源1138、1139及/或1140的任何一个输送至工艺腔室1100中。或者,在另一实施例中,处理气体可从另一气源(未图示)输送至工艺腔室1100中。在另一实施例中,含有氢化配体化合物的处理气体可通过盖体帽1172上的入口(未图示)进入工艺腔室1100。一旦进入工艺腔室1100,处理气体可下行气体分散槽道1128,从收敛槽道1134a通过发散槽道1134b沿着下表面1160并进入反应区1164。包括收敛槽道1134a、发散槽道1134b、下表面1160和反应区1164的工艺腔室1100的内表面可以由来自处理气体的氢化配体化合物或其他试剂涂布。
在另一实施例中,含有氢化配体化合物或其他试剂的处理气体环绕气体分散槽道1128的中心轴1133回转流动,如循环气流1174,同时涂布工艺腔室1100的内表面,如图3C所绘示。循环气流1174可包含例如,漩涡模式、螺旋模式、螺线模式、旋转模式、扭转模式、线圈模式、涡流模式或其衍生物的流动模式。循环气流1174可环绕气体分散槽道1128的中心轴1133延伸至少约1回转,较佳的是至少约1.5回转,更佳的是至少约2回转,更佳的是至少约3回转,更佳的是约4回转或更多。
钽前驱物,例如,PDMAT,的脉冲可由气源1138通过阀1142a引入。钽前驱物可在载气的帮助下提供,载气包括,但不受限于,氦、氩、氮(N2)、氢(H2)或其混合物。氮前驱物(例如氨)的脉冲可由气源1139通过阀1142a引入。载气也可用来帮助输送氮前驱物。净化气体例如氩,可由气源1140通过阀1142a及/或通过阀1142b引入。在一实施态样中,净化气流可由气源1140通过阀1142a、1142b连续提供,以用作钽前驱物和氮前驱物的脉冲间的净化气体,并用作钽前驱物和氮前驱物的脉冲期间的载气。在一实施态样中,与通过气体导管1150a或1150b之一提供净化气体相比,通过两气体导管1150a、1150b输送净化气体提供了反应区1164的更完整的净化。在一实施态样中,可通过气体导管1150a或1150b之一输送反应物气体,因为反应物(例如钽前驱物或氮前驱物)气流的均匀性不像净化气体的均匀性那么关键,此归因于反应物在基板结构的表面上的自限吸附处理。在其他实施例中,可以脉冲提供净化气体。在其他实施例中,可以按照多于或少于两个气流提供净化气体。在其他实施例中,可以按照多于单一气流(例如,二或多个气流)提供钽前驱物气体。在其他实施例中,可以按照多于单一气流(例如,二或多个气流)提供氮前驱物气体。
氮化钽层的形成叙述为起始于在基板上吸附单层钽前驱物,随后则是单层氮前驱物。或者,氮化钽层的形成可起始于在基板上吸附单层的氮前驱物,随后则是单层的钽前驱物。此外,在其他实施例中,介于反应物气体的脉冲间的单独泵排空可用于防止反应物气体混合。
每一钽前驱物脉冲的持续时间、每一氮前驱物脉冲的持续时间和介于反应物脉冲间的净化气流的持续时间可变,并取决于所用的沉积腔室和耦合至此的真空系统的体积容积。举例来说,(1)气体的较低的腔室压力将需要较长的脉冲时间;(2)较低的气体流速将需要较长时间使腔室压力上升并稳定,且需要较长的脉冲时间;及(3)大容积的腔室将耗费较长时间填满,需要较长时间使腔室压力稳定,从而需要较长的脉冲时间。类似地,介于每一脉冲之间的时间也为可变的,并取决于工艺腔室和耦合至此的真空系统的体积容积。一般而言,钽前驱物或氮前驱物的脉冲持续时间必须足够长,以用于单层化合物的吸附。在一实施态样中,当氮前驱物的脉冲进入时,钽前驱物的脉冲仍可在腔室中。一般而言,净化气体及/或泵排空的持续时间必须足够长,以防止钽前驱物与氮前驱物的脉冲在反应区中混合在一起。
一般而言,约1.0秒或更少的钽前驱物的脉冲时间和约1.0秒或更少的氮前驱物的脉冲时间典型足以在基板结构上吸附交替的单层。对净化气体而言,无论是连续的净化气体或净化气体脉冲,介于钽前驱物和氮前驱物的脉冲间的约1.0秒或更小的时间典型足以防止钽前驱物和氮前驱物的脉冲在反应区中混合在一起。当然,反应物的较长的脉冲时间可用来确保钽前驱物和氮前驱物的吸附,且反应物脉冲之间的较长时间可用来确保反应副产物的移除。
在一范例中,于ALD工艺期间,工艺腔室、基板或基板支撑可维持在近乎低于所选择的钽前驱物的热分解温度。在小于约100Torr,较佳的是小于50Torr的腔室压力下,与此处一致的钽前驱物并用之示范的加热器温度范围近乎介于约20℃和约500℃之间。当钽前驱物为PDMAT时,加热器温度较佳地在约150℃至约350℃的范围内,更佳的是从约250℃至300℃,且工艺腔室的内压可在约5Torr至约20Torr的范围内。在其他实施例中,须了解可使用其他温度和压力。举例来说,可使用高于热分解温度的温度。不过,温度必须经过选择,以便超过50百分比的沉积活动是经由吸附处理。在另一范例中,可使用高于热分解温度的温度,其中在每一前驱物沉积期间的分解量受限,以便生长模式将类似于ALD生长模式。
在一范例中,工艺腔室1100可暴露至预处理工艺随后则是ALD工艺。该工艺可以按照约100sccm至约1000sccm的范围内,较佳的是从约300sccm至约700sccm的流速提供从气源1138通过阀1142a的具有约1秒或更少的脉冲时间的钽前驱物气体脉冲(例如,氩中的PDMAT)。该工艺可进一步以约20sccm至约1000sccm的范围内,较佳的是从约100sccm至约300sccm的流速提供从气源1139通过阀1142b的具有约1秒或更少的脉冲时间的氮前驱物气体脉冲(例如,氨)。氩净化气体可具有约1slm至约12slm的范围内,较佳的是从约2slm至约8slm的流速,并可从气源1140通过阀1142a、1142b和通过其他工艺腔室1100上的入口连续提供。钽前驱物和氮前驱物的脉冲间的时间可为约0.5秒或更少。
在一实施例中,氮化钽层可沉积至通孔或类似孔穴的侧壁,其具有约
Figure BPA00001328722700351
或更小的厚度,较佳的是约或更小,更佳的是约或更小。认为具有约
Figure BPA00001328722700354
或更小的厚度的氮化钽层具有足够厚度用于防止铜扩散的阻障层的应用中。在其他实施例中,氮化钽层可具有大于
Figure BPA00001328722700355
的厚度。在一实施态样中,含有以此处所述的工艺沉积的氮化钽的薄阻障层可用于填充具有高深宽比(例如,大于5比1)的亚微米(例如,小于0.15μm)和更小的特征结构。
和未实施预处理工艺相比,预处理工艺期间的工艺腔室的内表面处理帮助增加氮化钽层或其他沉积材料的基板表面各处的均匀性(或降低不均匀性)。基板各处的沉积材料的不均匀性约为12%或更小,较佳的是约10%或更小,更佳的是约8%或更小,举例来说,约6%或更小。
如此处所用的“原子层沉积”(ALD)指的是循序引入二或多种反应化合物以在基板表面上沉积材料层。二、三、或多种反应化合物或可替代地引入工艺腔室的反应区或工艺区中。反应化合物可为气体、等离子、蒸气、流体状态或其他用于气相沉积工艺的相态。通常,每一反应化合物是以时间延迟分隔的,以允许每一化合物在基板表面上粘着及/或反应。在一实施态样中,第一前驱物或化合物A脉冲进入反应区,随后则是第一时间延迟。接下来,第二前驱物或化合物B脉冲进入反应区,随后则是第二延迟。化合物A和化合物B起反应,以形成沉积材料。在每一时间延迟期间,将净化气体引入工艺腔室中,以净化反应区或以其他方式从反应区移除任何残留的反应化合物或副产物。或者,净化气体可在整个沉积工艺期间连续流动,以便在介于反应化合物的脉冲间的时间延迟期间仅有净化气体流动。或者,反应化合物产生脉冲直到沉积材料所需的薄膜厚度在基板表面上形成。在任一方案中,脉冲化合物A、净化气体、脉冲化合物B和净化气体的ALD工艺为一循环。循环可始于化合物A或化合物B的任一者,并继续循环的个别顺序直到达到具有所需厚度的薄膜。在一替代实施例中,含有化合物A的第一前驱物、含有化合物B的第二前驱物与含有化合物C的第三前驱物各自分开脉冲进入工艺腔室中。或者,第一前驱物脉冲可在时间上与第二前驱物脉冲重迭,而第三前驱物脉冲不与第一和第二前驱物脉冲的任一者在时间上重迭。此处所用的“工艺气体”指的是单一气体、多个气体、含有等离子的气体、一或多个气体及/或一或多个等离子的组合。工艺气体可含有至少一个用于气相沉积工艺的反应化合物。反应化合物可为气体、等离子、蒸气、流体状态或其他用于气相沉积工艺的相态。同样地,工艺气体可含有净化气体或载气,且不含有反应化合物。
如此处所用的“基板”或“基板表面”指的是任何基板或形成在基板上的材料表面,在其上执行薄膜工艺。举例来说,在其上可执行处理的基板表面含有材料,例如,硅、氧化硅、应变硅、绝缘层上硅(SOI)、掺杂碳的硅氧化物、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、石英和任何其他材料,例如,金属、金属氮化物、金属合金和其他导电材料,依应用而定。基板表面上的阻障层、金属或金属氮化物可包括钛、氮化钛、氮硅化钛、钨、氮化钨、氮硅化钨、钽、氮化钽或氮硅化钽。基板可具有不同尺寸,例如,200mm或300mm直径的晶圆和矩形或正方形玻璃片。基板包括半导体基板、显示器基板(例如,LCD)、太阳能面板基板和其他类型的基板。除非以其他方式指明,否则此处所述的实施例和范例较佳地在具有200mm直径或300mm直径,更佳地,300mm直径的基板上实施。在其上可使用本发明的实施例的基板包括,但不受限于,半导体晶圆,例如,结晶硅(例如,硅<100>或硅<111>)、氧化硅、玻璃、石英、应变硅、硅锗、掺杂或无掺杂的多晶硅、掺杂或无掺杂的硅晶圆和图案化或无图案化的晶圆。基板可暴露至预处理工艺,以抛光、蚀刻、还原、氧化、羟基化、退火及/或加热基板表面。
虽然本发明已依据特定实施例叙述,本领域技术人员将了解反应条件(例如,温度、压力、薄膜厚度等)的不同变化可替换,且意欲包括于此以及欲沉积的气体序列中。举例来说,循序沉积工艺可具有不同的初始序列。初始序列可包括在引入含钽气体至工艺腔室前,将基板暴露至含氮气体。此外,除了起到用于接触的扩散阻障作用之外,氮化钽层可用于电路的其他特征结构。因此,本发明的范围不应以前文叙述为基础。宁说是本发明的范围应当以此处详述包括其等同物的完整范围的权利要求为基础而决定。
虽然前文涉及本发明的实施例,本发明的其他及进一步的实施例可在不偏离其基本范围的情况下设计,且其范围由随后的权利要求决定。

Claims (15)

1.一种用于处理腔室与在基板表面上沉积材料的方法,其包含以下步骤:
在预处理工艺期间,将工艺腔室的内表面和该工艺腔室内部的基板暴露至处理气体,其包含烷基胺化合物;及
在原子层沉积工艺期间,在该基板上沉积材料时,将该基板循序暴露至烷胺金属前驱物气体和至少一第二前驱物气体。
2.如权利要求1所述的方法,其中该烷基胺化合物所具有的化学式为H2NR或HNR′R″,其中每一R、R′和R″独立选自下列所构成的群组:甲基、乙基、丙基、丁基、戊基、苯基、芳香基、其异构物、其衍生物和其组合。
3.如权利要求1所述的方法,其中该工艺腔室的该内表面包括盖体组件内表面和腔室主体内表面,其在该预处理工艺期间加热至约30℃至约100℃的范围内的温度,并暴露至该处理气体达约5秒至约60秒的范围内的时间周期。
4.一种用于处理腔室与在基板表面上沉积材料的方法,其包含以下步骤:
在预处理工艺期间,将工艺腔室的内表面和配置在该工艺腔室内部的基板暴露至处理气体,其包含氢化配体化合物,其中该氢化配体化合物具有化学式HL,其中L为配体,其选自下列所构成的群组:烷胺基、烷基亚胺基、烷氧基、烷基、烯、炔、环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基和其衍生物;及
在气相沉积工艺期间,将该基板暴露至第一前驱物气体,以在该基板上沉积材料,其中该第一前驱物气体包含第一前驱物,其具有ML′x的化学式,其中x为1、2、3、4、5、6或更大,M为元素,其选自下列所构成的群组:钛、锆、铪、铌、钽、钼、钨、钌、钴、镍、钯、铂、铜、铝、镓、铟、硅、锗、锡、磷、砷或锑,且每一L′为独立配体,其选自下列所构成的群组:烷胺基、烷基亚胺基、烷氧基、烷基、烯、炔、环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、氢、卤素、其衍生物和其组合。
5.如权利要求4所述的方法,其中该氢化配体化合物为烷基胺化合物,其具有化学式H2NR或HNR′R″,其中每一R、R′和R″独立选自下列所构成的群组:甲基、乙基、丙基、丁基、戊基、苯基、芳香基、其异构物、其衍生物和其组合。
6.如权利要求5所述的方法,其中该烷基胺化合物选自下列所构成的群组:甲胺、二甲胺、乙胺、二乙胺、甲基乙胺、丙胺、二丙胺、丁胺、二丁胺、其异构物、其衍生物和其组合。
7.如权利要求4所述的方法,其中该第一前驱物包含烷胺配体,其选自下列所构成的群组:N(CH3)2、N(C2H5)2、N(C3H7)2、N(C4H9)2、N(CH3)(C2H5)、其异构物、其衍生物和其组合。
8.如权利要求7所述的方法,其中该元素M为钽,且x为4或5。
9.如权利要求8所述的方法,其中该第一前驱物为五(二甲胺)钽,且该烷基胺化合物气体包含甲胺或二甲胺。
10.如权利要求7所述的方法,其中该元素M为硅、钛、锆或铪,且x为4。
11.如权利要求4所述的方法,其中该氢化配体化合物为醇化合物,其具有化学式ROH,其中R选自下列所构成的群组:甲基、乙基、丙基、丁基、戊基、其异构物、其衍生物和其组合。
12.如权利要求11所述的方法,其中该醇化合物选自下列所构成的群组:甲醇、乙醇、丙醇、丁醇、戊醇、其异构物、其衍生物和其组合。
13.如权利要求11所述的方法,其中该第一前驱物包含烷氧基配体,其选自下列所构成的群组:OCH3、OC2H5、OC3H7、OC4H9、其异构物、其衍生物和其组合。
14.如权利要求4所述的方法,其中该氢化配体化合物的配体L选自下列所构成的群组:环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物、其衍生物和其组合,且该第一前驱物的配体L’选自下列所构成的群组:环戊二烯基、烷基环戊二烯基、戊二烯基、吡咯基、其异构物、其衍生物和其组合。
15.一种用于处理腔室与在基板表面上沉积材料的方法,其包含以下步骤:
将工艺腔室的内表面和配置在该工艺腔室内部的基板暴露至具有连续流的载气;
在预处理工艺期间,引入包含二甲胺的处理气体至该载气,以将该工艺腔室的内表面和该基板暴露至该处理气体;及
在原子层沉积工艺期间,在该基板上沉积氮化钽材料时,将该基板循序暴露至钽前驱物气体和氮前驱物气体,其中该钽前驱物气体包含五(二甲胺)钽,且该原子层沉积工艺包含使该钽前驱物气体和该氮前驱物气体循序脉冲至具有该连续流的该载气中,以沉积该氮化钽材料。
CN200980134897.4A 2008-09-08 2009-08-19 原位腔室处理与沉积工艺 Active CN102144281B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/206,705 2008-09-08
US12/206,705 US8491967B2 (en) 2008-09-08 2008-09-08 In-situ chamber treatment and deposition process
PCT/US2009/054321 WO2010027669A2 (en) 2008-09-08 2009-08-19 In-situ chamber treatment and deposition process

Publications (2)

Publication Number Publication Date
CN102144281A true CN102144281A (zh) 2011-08-03
CN102144281B CN102144281B (zh) 2014-06-25

Family

ID=41797756

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980134897.4A Active CN102144281B (zh) 2008-09-08 2009-08-19 原位腔室处理与沉积工艺

Country Status (6)

Country Link
US (1) US8491967B2 (zh)
JP (3) JP2012502179A (zh)
KR (2) KR101585166B1 (zh)
CN (1) CN102144281B (zh)
TW (1) TWI449803B (zh)
WO (1) WO2010027669A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103377874A (zh) * 2012-04-23 2013-10-30 南亚科技股份有限公司 硅酸铪氮氧化合物制作方法
CN109594059A (zh) * 2018-10-31 2019-04-09 青岛大学 一种用于三乙胺检测的异质敏感薄膜的原子层沉积制备方法
CN111133127A (zh) * 2017-09-26 2020-05-08 应用材料公司 为了较佳生物传感器性能的用于原生氧化物移除和介电氧化物再生长的方法、材料和工艺
CN111354625A (zh) * 2018-12-20 2020-06-30 南亚科技股份有限公司 多层结构的制备方法
CN111501016A (zh) * 2020-04-09 2020-08-07 中国科学院微电子研究所 一种高均一性的原子层沉积方法及其应用
CN112626492A (zh) * 2019-09-24 2021-04-09 皮考逊公司 用于薄膜沉积设备的流体分配装置、相关设备和方法
CN113611587A (zh) * 2016-04-28 2021-11-05 应用材料公司 用于处理腔室的陶瓷涂覆的石英盖体
CN114277357A (zh) * 2020-09-28 2022-04-05 株式会社国际电气 气化系统、基板处理装置以及半导体装置的制造方法

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
CN103843144B (zh) * 2011-09-29 2018-06-19 英特尔公司 用于半导体应用的含正电性金属的层
US8841703B2 (en) * 2011-10-31 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
TWI504774B (zh) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd 高純度pdmat前驅物蒸氣之製作方法
US9432809B2 (en) 2013-07-12 2016-08-30 Qualcomm Incorporated Providing OTDOA PRS assistance data
JP6116425B2 (ja) * 2013-07-19 2017-04-19 大陽日酸株式会社 金属薄膜の製膜方法
KR102219147B1 (ko) * 2013-11-13 2021-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
KR102254473B1 (ko) * 2014-03-03 2021-05-25 피코순 오와이 Ald 코팅에 의한 가스 컨테이너 내부의 보호 방법
JP2015224227A (ja) * 2014-05-28 2015-12-14 宇部興産株式会社 (アセチレン)ジコバルトヘキサカルボニル化合物の製造方法
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10431451B2 (en) * 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
KR101840293B1 (ko) * 2016-07-29 2018-03-20 주식회사 유진테크 머티리얼즈 박막 증착 방법
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
TWI627773B (zh) * 2017-05-04 2018-06-21 旺宏電子股份有限公司 半導體結構及其形成方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020175152A1 (ja) * 2019-02-25 2020-09-03 株式会社アルバック プラズマcvd装置、および、プラズマcvd法
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11282745B2 (en) * 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11289312B2 (en) 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11643751B2 (en) 2020-03-10 2023-05-09 Matrix Sensors, Inc. Apparatus and method for producing a crystalline film on a substrate surface
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
JP7258970B2 (ja) * 2020-09-28 2023-04-17 株式会社Kokusai Electric 気化システム、基板処理装置および半導体装置の製造方法
KR20230019325A (ko) 2021-07-30 2023-02-08 (주)원익머트리얼즈 유기금속화합물 전구체를 이용한 박막 증착 방법
WO2023141550A1 (en) * 2022-01-21 2023-07-27 X-Energy, Llc Deposition of ceramic layers using liquid organometallic precursors

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905541B2 (en) * 2002-07-17 2005-06-14 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
CN1662674A (zh) * 2002-04-19 2005-08-31 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
CN1774525A (zh) * 2001-10-26 2006-05-17 应用材料有限公司 用于原子层淀积的气体输送装置
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor

Family Cites Families (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
JPS62104038A (ja) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JP4308867B2 (ja) * 1992-06-08 2009-08-05 東京エレクトロン株式会社 高融点金属窒化膜の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
WO1995021458A1 (en) * 1994-02-03 1995-08-10 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TWI227531B (en) 1997-03-05 2005-02-01 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6291283B1 (en) 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
EP1186030B1 (de) 1999-05-12 2011-05-04 Qimonda AG Kondensator für halbleiteranordnung und verfahren zum herstellen einer dielektrischen schicht für denselben
JP2000349081A (ja) 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6753556B2 (en) 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
JP2001108199A (ja) * 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk 残留物のパージが簡易な流体移送用配管装置及び流体供給装置、並びに配管装置中の残留物をパージする方法及び流体供給方法。
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
DE10034003A1 (de) 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
EP1301941A2 (en) 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
KR100375102B1 (ko) * 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100493206B1 (ko) 2001-01-16 2005-06-03 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
KR100500013B1 (ko) 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
DE20221269U1 (de) * 2001-10-26 2005-12-08 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur Abscheidung atomarer Schichten
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
CN1306599C (zh) 2002-03-26 2007-03-21 松下电器产业株式会社 半导体装置及其制造方法
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
EP1372160B1 (en) 2002-06-10 2008-05-28 Interuniversitair Microelektronica Centrum (IMEC) Transistors or memory capacitors comprising a composition of HfO2 with enhanced dielectric constant
CN100533651C (zh) 2002-06-12 2009-08-26 应用材料有限公司 用于处理衬底的等离子体方法和装置
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7005697B2 (en) 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6875678B2 (en) 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (ja) 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
DE10319540A1 (de) 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
CN1795290B (zh) 2003-05-27 2010-06-16 应用材料股份有限公司 一种用来产生一可用于半导体处理系统的前体的方法和设备
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US7067422B2 (en) 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
JP2006257551A (ja) * 2005-03-15 2006-09-28 Asm Internatl Nv Aldによる貴金属の促進された堆積
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7736697B2 (en) * 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
CN101313392B (zh) 2005-10-05 2011-03-16 陶氏康宁公司 涂布的基底及其制备方法
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7750173B2 (en) 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
KR101560755B1 (ko) * 2007-09-14 2015-10-15 시그마 알드리치 컴퍼니 엘엘씨 모노시클로펜타디에닐 티타늄계 전구체를 이용한 원자층 증착에 의한 티타늄 함유 박막의 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1774525A (zh) * 2001-10-26 2006-05-17 应用材料有限公司 用于原子层淀积的气体输送装置
CN1662674A (zh) * 2002-04-19 2005-08-31 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
US6905541B2 (en) * 2002-07-17 2005-06-14 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103377874A (zh) * 2012-04-23 2013-10-30 南亚科技股份有限公司 硅酸铪氮氧化合物制作方法
CN113611587A (zh) * 2016-04-28 2021-11-05 应用材料公司 用于处理腔室的陶瓷涂覆的石英盖体
CN113611587B (zh) * 2016-04-28 2024-03-19 应用材料公司 用于处理腔室的陶瓷涂覆的石英盖体
CN111133127A (zh) * 2017-09-26 2020-05-08 应用材料公司 为了较佳生物传感器性能的用于原生氧化物移除和介电氧化物再生长的方法、材料和工艺
US11598000B2 (en) 2017-09-26 2023-03-07 Applied Materials, Inc. Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance
CN109594059A (zh) * 2018-10-31 2019-04-09 青岛大学 一种用于三乙胺检测的异质敏感薄膜的原子层沉积制备方法
CN111354625A (zh) * 2018-12-20 2020-06-30 南亚科技股份有限公司 多层结构的制备方法
CN112626492A (zh) * 2019-09-24 2021-04-09 皮考逊公司 用于薄膜沉积设备的流体分配装置、相关设备和方法
CN111501016A (zh) * 2020-04-09 2020-08-07 中国科学院微电子研究所 一种高均一性的原子层沉积方法及其应用
CN114277357A (zh) * 2020-09-28 2022-04-05 株式会社国际电气 气化系统、基板处理装置以及半导体装置的制造方法

Also Published As

Publication number Publication date
JP2018048394A (ja) 2018-03-29
JP6412987B2 (ja) 2018-10-24
KR101585166B1 (ko) 2016-01-13
CN102144281B (zh) 2014-06-25
KR20160009706A (ko) 2016-01-26
WO2010027669A2 (en) 2010-03-11
KR20110056540A (ko) 2011-05-30
TW201016880A (en) 2010-05-01
US20100062614A1 (en) 2010-03-11
JP6189350B2 (ja) 2017-08-30
KR101772635B1 (ko) 2017-08-29
US8491967B2 (en) 2013-07-23
TWI449803B (zh) 2014-08-21
JP2015134966A (ja) 2015-07-27
WO2010027669A3 (en) 2010-05-14
JP2012502179A (ja) 2012-01-26

Similar Documents

Publication Publication Date Title
CN102144281B (zh) 原位腔室处理与沉积工艺
US9418890B2 (en) Method for tuning a deposition rate during an atomic layer deposition process
US6924223B2 (en) Method of forming a metal layer using an intermittent precursor gas flow process
KR101611207B1 (ko) 원자 층 증착을 위한 장치들
KR20040066882A (ko) 내화 금속 실리콘 나이트라이드의 주기적 증착
US20120003833A1 (en) Methods for forming tungsten-containing layers
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant