CN101597754A - 形成高质量的低温氮化硅膜的方法和设备 - Google Patents

形成高质量的低温氮化硅膜的方法和设备 Download PDF

Info

Publication number
CN101597754A
CN101597754A CNA2009101425686A CN200910142568A CN101597754A CN 101597754 A CN101597754 A CN 101597754A CN A2009101425686 A CNA2009101425686 A CN A2009101425686A CN 200910142568 A CN200910142568 A CN 200910142568A CN 101597754 A CN101597754 A CN 101597754A
Authority
CN
China
Prior art keywords
silicon nitride
nitride film
source gas
gas
hydroperoxyl radical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009101425686A
Other languages
English (en)
Other versions
CN101597754B (zh
Inventor
S·王
E·A·C·桑柴兹
A·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101597754A publication Critical patent/CN101597754A/zh
Application granted granted Critical
Publication of CN101597754B publication Critical patent/CN101597754B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides

Abstract

本发明提供一种形成氮化硅膜的方法。根据本发明,通过对含硅/氮源气体或者含硅源气体和含氮源气体进行热分解来在低沉积温度(例如小于550℃)下沉积氮化硅膜,以形成氮化硅膜。然后利用氢自由基对热沉积的氮化硅膜进行处理以形成处理后的氮化硅膜。

Description

形成高质量的低温氮化硅膜的方法和设备
本申请是分案申请,原申请的申请日为2003年12月18日、申请号为200380108258.3(PCT/US2003/040586)、发明名称为“形成高质量的低温氮化硅膜的方法和设备”。
发明背景
技术领域
本发明关于薄膜形成领域,更具体地是关于以低沉积温度和高沉积速率来形成氮化硅膜的方法和设备。
相关技术的讨论
现代集成电路毫无夸张地是由集成到功能电路中的数百万到上千万个晶体管构成的。为了进一步提高集成电路的计算能力和存储能力,晶体管特征尺寸,例如栅长和栅氧化物厚度必须进一步按比例缩小。但是,随着晶体管的栅长不断按比例改变,晶体管的电学特性和性能可能会由于器件中掺杂物的热量再分布而发生很大变化。同样,随着器件进一步按比例改变,必须也降低用于制造集成电路的热量预算,以确保器件具有一致的和可靠的电学性能。也就是说,随着器件尺寸不断减小,用于形成集成电路的薄膜的沉积和工艺温度也必须降低。预期制造晶体管尺寸为65纳米或更小的集成电路要求能够在低于550℃的沉积温度下形成的高质量薄膜。
此外,为了进一步按比例改变半导体器件的尺寸,用于制造这些器件的薄膜必须能以高度的组分均匀性和厚度均匀性而形成。为了形成厚度和组分极均匀的膜,通常需要在单晶片沉积反应器中形成膜。为了在可制造量的时间内于单晶片反应器中形成薄膜,薄膜的沉积速率应该至少为50
Figure A20091014256800051
/分钟。
在半导体制造工艺的整个过程中,采用由热化学气相沉积法(CVD)沉积的氮化硅薄膜。例如,热CVD氮化硅膜用作隔离膜,蚀刻阻挡层(etch stops),以及电容器和多晶硅间介质体。但是,在单晶片反应器中利用热化学气相沉积法形成高质量氮化硅膜的现有技术需要高于750℃的沉积温度,和/或在较低温度下的低沉积速率。在大多数氮化硅沉积工艺中,如果沉积温度降低至550℃以下,则沉积速率发生大幅降低并可能达到0。此外,当氮化硅膜在低温下沉积或以高沉积速率进行沉积时,膜质量通常很差。
因此,所需要的是通过热化学气相沉积(CVD),以小于或等于550℃的低沉积温度,并以大于50/分钟的可制造沉积速率形成高质量氮化硅膜的方法。
发明概述
本发明描述了一种形成氮化硅膜的方法。根据本发明,通过在低沉积温度(例如小于550℃)下对含硅/氮源气体或者含硅源气体和含氮源气体进行热分解来沉积氮化硅膜,以形成氮化硅膜。然后利用氢自由基对热沉积的氮化硅膜进行处理以形成处理过的氮化硅膜。
附图说明
图1示出了本发明氮化硅膜形成方法的流程图。
图2示出了本发明一种实施方式中氮化硅膜形成方法的流程图。
图3A-3C是本发明中形成半导体器件的方法的截面图,其中该半导体器件具有由氮化硅膜构成的侧壁隔离层。
图4示出了本发明中可用于形成氮化硅膜的设备。
图5示出了本发明中可用于形成氮化硅的群集工具。
发明详述
本发明是可在低沉积温度下形成的高质量氮化硅膜。在下列的说明书中,对许多特定的细节,如沉积和退火设备展开了描述,目的是能彻底地理解本发明。
然而,本领域的普通技术人员将认识到本发明可在缺少这些特定细节的情况下实施。在另一些例子中并未对公知的半导体加工工艺展开特别详细的描述,目的是避免不必要地隐藏本发明。
本发明是在低于550℃的沉积温度下采用热化学气相沉积法(CVD)形成高质量氮化硅膜的新颖的方法和设备。图1的流程图中对沉积氮化硅膜的方法的一个示例进行了一般性说明。根据本发明的第一步骤,如图1的方块102所示,在小于等于550℃,理想地是低于500℃的沉积温度(衬底温度)下,包括含硅/氮源气体或含硅源气体和含氮源气体的工艺气体或处理气体混合物在室内被热分解而产生硅物质和氮物质,并由此沉积出氮化硅膜。选择源气体或多种源气体,使氮化硅膜能由热化学气相沉积法在低于或等于550℃的低沉积温度下(即衬底或晶片温度),以至少50
Figure A20091014256800071
/分钟,理想地是至少100
Figure A20091014256800072
/分钟的沉积速率形成。
可用于由热化学气相沉积法在低温下以足够高的沉积速率产生氮化硅膜的含硅源气体或含硅/氮源气体包括:例如,六氯乙硅烷(HCD或Si2Cl6)和含有机硅的气体,如1,2二乙基-四(二乙基氨基)乙硅烷,1,2-二氯-四(二乙基氨基)乙硅烷,六(N-吡咯烷基)乙硅烷,以及其它氯化或未氯化的烷基-氨基-乙或甲硅烷R2N-Si(R′2)-Six(R′2)y-NR2(x=y=0或1;R,R′=Cl、或甲基、或乙基、或异-丙基、或其它烷基基团、或另一个烷基氨基基团、或含N的环状基团、或甲硅烷基基团中的任意组合)。
根据本发明,用于在低温下形成氮化硅膜的硅源气体(前体)或硅/氮源气体(前体)具有弱硅-硅单键(即Si-Si单键),这使得分子易于在低温下发生分解。此外,理想地,硅源气体或硅/氮源气体也含有与每个具有弱单键的硅原子相键合的氯(Cl)原子和/或氮(N)原子。即,理想地,硅源气体或硅/氮源气体具有与弱Si-Si单键连续的Si-Cl官能团和/或Si-N官能团。这对不断降低的温度下以合适的沉积速率改进台阶覆盖和微载荷尤其起到关键作用。在本发明的实施方式中,硅源气体或硅/氮源气体具有弱Si-Si单键,其中每个硅原子的其它三个键与氮(N)原子或氯(Cl)原子键合,理想地与一个氮原子和一个氯原子键合。在本发明的实施方式中,硅源气体或硅/氮源气体包括有机基团,其中该有机基团理想地与氮原子相键合,而该氮原子又与另一个具有单键与另一个硅原子键合的硅原子键合。或者,有机基团可直接与硅原子相键合,而该硅原子又具有与另一个硅原子键合的弱单键。理想地,硅源气体或硅/氮源气体是对称的分子。
根据本发明,可用于在低温下沉积含有硅和氮的膜的氮源气体或前体包括但不限于氨气(NH3)或N2H4。理想地,氮源气体含有弱的氮-氮单键(即,N-N单键),从而使氮源气体易于在低温下发生分解。此外,当将含硅/氮源气体用于工艺气体混合物中时,气体混合物中也通常包括一定量的氮源气体,以在膜沉积期间灵活控制所沉积的膜的组成。
由于氮化硅膜是在低温下以高沉积速率沉积得到的,因此氮化硅膜通常在最初时是质量差的膜。即,最初的“沉积态”的氮化硅膜的总氢浓度高(例如高于15at.%(原子百分比)),其中的大部分为Si-H形式;在采用有机硅前体的情况下碳浓度高(例如高于10at.%);在采用氯化硅前体的情况下氯浓度高(例如高于1at.%);折射率低(例如低于1.85);以及湿蚀刻速率高(例如为利用氧化物蚀刻,如缓冲氧化物蚀刻(BOE)的蚀刻速率的两倍以上)。
如图1的方块104所示,为了提高沉积态氮化硅膜的质量,用氢自由基处理膜达预定的时间,从而提高膜的质量(例如,将总氢浓度降低到10at.%以下,或降低Si-H形式的分数,或将碳浓度降低至例如5at.%以下,或将氯浓度降低至例如1at.%以下,或将折射率增加至例如1.90以上,或将湿蚀刻速率降低至例如与利用氧化物蚀刻如BOE的氧化硅蚀刻速率基本相同(1∶1))。氢自由基可例如通过等离子体分解(原位或远处)或通过对含氢气体如氨气(NH3)和氢气(H2)的″热线″分解而形成。沉积态的氮化硅膜可用流量为5x1015个/cm2原子-1x1017个/cm2原子的氢自由基进行处理。在氢自由基的处理期间,将衬底加热到450-600℃的低温。通常在15-120秒内就发生了充分的处理。本发明的方法能通过热化学气相沉积法在低沉积温度下以可制造的高沉积速率(例如大于50
Figure A20091014256800081
/min)形成高质量氮化硅膜。低沉积温度使得氮化硅膜在形成晶体管或有源器件之后的应用或场合中能被用于半导体电路制造方法中,原因是沉积温度低得足以不会强烈地影响器件的热预算或改变其中的掺杂物分布。氮化硅膜的高沉积速率使得可在单晶片反应器中实施该方法。
图2的流程图200示出了根据本发明的实施方式,在单晶片反应器中沉积和处理氮化硅膜的一个示例。第一步是采用热化学气相沉积法在晶片或衬底上沉积氮化硅膜。流程图200的方块201示出了氮化硅沉积法的一个特例。沉积氮化硅膜的第一步是将晶片或衬底放置到室内。理想地,氮化硅膜在减压单晶片冷壁反应器的室内形成,该室具有用于加热晶片的电阻性加热的衬底支架,例如是应用材料有限公司的Xgen室。图4中举例说明并示出了合适的室的一个示例。
一旦已经将衬底放置到室内,就达到了用于沉积氮化硅膜的沉积压力和温度。在本发明的一个实施方式中,发生氮化硅膜沉积的沉积压力为10-350托。沉积温度(即晶片或衬底的温度)将取决于用于沉积氮化硅膜的特定处理气体(例如,含硅源气体和含氮源气体)。晶片或衬底温度小于或等于550℃,理想的是低于500℃,在沉积过程期间通常为550-450℃。接下来,如方块206所示,将工艺气体引导到沉积室内。工艺气体混合物将包括含硅源气体(即,可被分解而提供用于沉积氮化硅膜的硅原子或含硅中间物质的气体)和含氮源气体(即,可被热分解而提供用于沉积氮化硅膜的氮原子或含氮物质的气体)中的至少一种。或者,工艺气体混合物可包括硅/氮源气体,其从单分子提供用于形成氮化硅膜的既含硅原子又含氮原子的源,或者是含有氮和硅的中间物质源。当采用硅/氮源气体时,工艺气体混合物还可以包括氮源气体和/或硅源气体,或可只包括硅/氮源气体而无其它的氮和硅源。
含氮源气体可包括但不限于氨气(NH3)和肼(N2H4)。在本发明的一个实施方式中,在将硅源气体供入到室内之前,先将氮源气体供入到沉积室内。此外,在本发明的一个实施方式中,氮源气体具有弱氮-氮单键(即N-N单键)。
本发明用于在低温下形成氮化硅膜的硅源气体(前体)或硅/氮源气体(前体)具有使分子在低温下易于分解的弱硅-硅单键(即Si-Si单键)。此外,理想情况下,硅源气体或硅/氮源气体也含有与每个具有弱单键的硅原子相键合的氯(Cl)原子和/或氮(N)原子。也就是说,理想情况下,硅源气体或硅/氮源气体具有与弱Si-Si单键连续的Si-Cl官能团和/或Si-N官能团。这对在不断降低的温度下以合适的沉积速率改进台阶覆盖和微载荷尤其起到关键作用。在本发明的实施方式中,硅源气体或硅/氮源气体具有弱硅-硅单键,其中每个硅原子的另外三个键与氮(N)原子或氯(Cl)原子键合,理想的是与氮原子和氯原子键合。在本发明的实施方式中,硅源气体或硅/氮源气体包括多个有机基团,理想的是其中有一个有机基团与氮原子相键合,该氮原子又与硅原子相键合,而该硅原子与另一个硅原子之间存在单键。或者,有机基团可直接键合到某个硅原子上,该硅原子与另一个硅原子之间存在弱单键。理想情况下,硅源气体或硅/氮源气体是对称分子。
在本发明的一个实施方式中,硅源气体是六氯乙硅烷(HCD)。可将HCD和NH3或N2H4供入室内而形成氮化硅膜。如果采用HCD,在引入到反应室内之前,可先将其与惰性载气如N2相混合。应该理解诸如N2的载气在反应的沉积温度下不起反应,或不发生热分解,同样也就不参加反应。在本发明的一个实施方式中,HCD以10-200sccm的速率供入反应室内,同时提供500-5000sccm的氮源气体。在一个示例中,HCD源气体和氮源气体的流速为1∶1和1∶1000,理想情况下分别为1∶1-1∶500之间。这种方法或工艺可在晶片温度为530℃时以约80
Figure A20091014256800101
/min沉积速率形成氮化硅膜,而在晶片温度为480℃时以约50
Figure A20091014256800102
/min沉积速率形成氮化硅膜。
在本发明的实施方式中,含硅源气体是含有机硅的气体,例如1,2-二乙基-四(二乙基氨基)乙硅烷,1,2-二氯-四(二乙基氨基)乙硅烷,六(N-吡咯烷基)乙硅烷,以及其它氯化或非氯化的烷基-氨基-二或甲-硅烷R2N-Si(R′2)-Six(R′2)y-NR2(x=y=0或1;R,R′=Cl、或甲基、或乙基、或异-丙基、或其它烷基基团、或另一个烷基氨基基团、或含N的环状基团、或甲硅烷基基团的任意组合)。可利用流速为10-100sccm的1,2-二氯-四(二乙基氨基)乙硅烷以及流速为200-2000sccm的氮源气体形成合适的氮化硅膜。可由流速为10-100sccm的1,2-乙基-四(二乙基氨基)乙硅烷和流速为200-2000sccm的氮源气体沉积合适的氮化硅膜。这种方法或工艺可在晶片温度为530℃时以约80
Figure A20091014256800103
/min沉积速率形成氮化硅膜,而在在晶片温度为480℃时以约50
Figure A20091014256800104
/min沉积速率形成氮化硅膜。
接下来,如流程图200的方块208所示,来自被加热的衬底或衬底支架的热量使硅/氮源气体或硅源气体和氮源气体发生热分解。硅源气体的热分解提供了硅原子或含硅的中间物质。氮源气体的热分解提供了氮原子或含氮的中间物质。硅/氮源气体的热分解既可提供硅原子或含硅的中间物质,又可提供氮原子或含氮的中间物质。硅原子或含硅的中间物质与氮原子或含氮的中间物质发生反应而在衬底的表面上沉积氮化硅膜。应该理解在本发明中,含硅/氮源气体或硅源气体和氮源气体仅利用热能,如来自于衬底的热或来自于衬底支架的热进行热分解,而不需要其它辅助能源,例如光子增强或等离子增强。在本发明的一个实施方式中,氮化硅膜的沉积厚度为10-150
Figure A20091014256800111
优选的厚度为120
Figure A20091014256800112
以下,理想的是80以下。如果需要较厚的膜,可采用多次沉积/氢自由基处理循环以沉积较厚的膜,这将在下文进行论述。
在本发明的一个实施方式中,如方块210所示,在沉积足够厚的氮化硅膜之后,停止硅源气体和氮源气体的流入。在本发明的一个实施方式中,当氮化硅的沉积完成之后,可任选用氮源气体处理衬底,如方块210所示。在约10秒的时间内,仅将氮源气体引入到反应室内。在沉积步骤结束时采用氮源气体处理氮化硅膜,这终止了衬底上未反应的硅位点。这一操作有助于增加N/Si比,降低氮化硅膜内的氢(尤其是在Si-H键形式中)。然而,操作210并非是根据本发明获得良好氮化硅膜所必须的。
本发明中用于沉积氮化硅膜的工艺气体混合物能通过热化学气相沉积法,在低于550,理想的是低于500℃的低沉积温度下,以至少为50
Figure A20091014256800114
/分钟,理想的是高于100/分钟的速率来沉积氮化硅膜。
由于以高沉积速率和/或在低沉积温度下沉积氮化硅膜,″沉积态″的氮化硅膜通常具有较差的质量。即,″沉积态″的氮化硅膜常常具有大于15at.%的较高氢浓度(主要是Si-H形式),以及小于1.85的低折射率和较高的湿蚀刻速率(例如为利用氧化物蚀刻如缓冲氧化物蚀刻(BOE)的氧化硅蚀刻速率的两倍以上)。此外,当采用氯化的和/或有机硅前体时,膜中的氯和碳浓度高,分别为大于1.0at.%和10at.%。质量这样差的氮化硅膜不适用于半导体器件制造中氮化硅膜的许多应用场合,例如隔离层和多晶硅间介质体。
根据本发明,″沉积态″的氮化硅膜用氢自由基处理一段预定的时间,以提高″沉积态″膜的质量,如流程图200中的方块212所示。在本发明的一个实施方式中,将氮化硅膜暴露到流量为5x1015个/cm2原子-1x1017个/cm2原子,理想地为约1x1016个/cm2原子的氢自由基中。在本发明的一个实施方式中,氮化硅膜被氢自由基处理15-120秒,同时将衬底加热到450-600℃的温度下。氢自由基处理可在100毫托-5托的压力下进行。
氢自由基处理所采用的氢自由基可以以任何合适的形式产生。在本发明的实施方式中,氢自由基由可被分解而提供足量氢自由基的含氢气体进行等离子体分解形成。氢自由基包括所有种类的原子氢,包括高度活化的中性原子氢和带电荷的氢离子。合适的氢源气体包括氨(NH3)和氢气(H2)。在本发明的实施方式中,氢源气体包括NH3和H2的混合物。在本发明的一个实施方式中,氢处理气体仅包括NH3或仅包括H2。此外,在本发明的一个实施方式中,惰性气体,例如N2、Ar或He可与氢处理气体一起提供。利用微波或射频源在200-2000瓦的功率下适当地分解含氢气体而提供氢自由基。氢处理气体的等离子体分解可在原位完成或利用远程等离子体完成。在原位方法中,等离子体和氢自由基在同一个室中生成,该室中装有将被处理的具有氮化硅膜的衬底。合适的等离子室的一个示例包括电容性耦合的PECVD或高密度等离子体HDP室。在远程等离子体处理中,氢自由基和等离子体由独立于装有具有氮化膜的衬底的室的另一个室内的微波生成。在远程等离子体方法中,等离子体和氢自由基在一个室或第一室(分解室或腔)中生成,然后从分解室出发,流经导管而进入另一个室或第二室中,该第二室中装有具有将被处理的氮化硅膜的衬底。可采用任何合适的远程等离子体发生器反应器,例如但不限于Astex Astron,应用材料有限公司的远程等离子体渗氮(RPN,Remote Plasma Nitridation)源,以及应用材料有限公司的高级去除钝化层附加(ASP,AdvancedStrip Passivation Plus)室。
在本发明的一个实施方式中,氢自由基由含氢气体的“热线”分解或催化分解而形成,所述的含氢气体例如为氨(NH3)和氢气(H2)或其混合物。在″热线″法中,将金属丝或催化剂,例如钨丝加热到约1600-1800℃的高温下,将氢处理气体输入到钨丝上。热的钨丝导致氢处理气体发生裂化或分解,形成氢自由基。然后,氢自由基对形成于钨丝下方衬底上的氮化硅膜进行处理。尽管钨丝温度很高,但衬底在处理过程中仍然只被加热到600℃以下,优选550℃以下的低温。在本发明的另一个实施方式中,可利用感应生成的等离子体来生成氢自由基。
在本发明的一个实施方式中,″沉积态″氮化硅膜在一段时间、温度和压力下被暴露到氢自由基中,从而将膜的氢浓度降低到10at.%以下和/或降低Si-H键的分数以及将折射率提高到1.9以上。如果利用有机硅源气体,则可对膜进行处理,直到膜中的碳浓度下降到5at.%以下。如果采用氯化的硅源气体,则可对膜进行处理,直到膜中的氯浓度降低到1at.%以下。在本发明的一个实施方式中,对氮化硅膜进行处理,直到湿蚀刻速率几乎与利用BOE的氧化硅的相同。
由于采用低温法时,氢自由基可渗透氮化硅膜的深度或距离通常被限制为100
Figure A20091014256800131
以下,典型地为50以下,在氢自由基处理之前,先形成不超过150
Figure A20091014256800133
优选不超过100
Figure A20091014256800134
的氮化硅膜。当需要较厚的氮化硅膜时,可采用多次沉积和处理循环。例如,如果需要300
Figure A20091014256800135
厚的氮化硅膜,首先可沉积100
Figure A20091014256800136
厚的氮化硅膜,然后用氢自由基处理该氮化硅膜。然后,在处理过的氮化硅膜上沉积第二层100
Figure A20091014256800137
的氮化硅膜,接着用氢自由基处理第二层氮化硅膜。此后,在第二层氮化硅膜上沉积第三层100厚的氮化硅膜,接着用氢自由基进行处理。通过这种方式,可形成任何所需厚度的高质量氮化硅膜。
本发明中形成氮化硅膜的方法可理想地用于制造要求低热量预算和/或防止置于硅衬底中的掺杂物发生重新分布的半导体器件。根据本发明,采用氮化硅膜的一个示例是制造侧壁隔离层。在此类应用中,可提供衬底,例如图3A所示的衬底300。衬底300包括单晶硅衬底或形成于其上的、具有栅极介电层304的膜302。在栅极介电层上形成门电极或栅电极306,其具有横向相对设置的侧壁。典型地,一对源极/漏极端或扩展区或延伸区310形成于衬底302中,与门电极306的横向相对侧壁同轴。
然后,如图3B所示,根据本发明形成的低温氮化硅膜是沉积在图300的衬底上的覆盖层。氮化硅膜312的厚度取决于所制造晶体管的物理特性,对65nm技术器件通常为至少200
Figure A20091014256800139
厚。根据本发明,利用低沉积温度,以高沉积速率按照上述方法沉积氮化硅膜。首先形成厚度为小于或等于100理想情况为小于50的氮化硅层。然后,如上所述,用氢自由基对该氮化硅膜进行退火处理。接下来,在必要的情况下于氢自由基处理过的第一层氮化硅膜上形成第二层氮化硅膜。之后用氢自由基按照上述方法处理该第二层氮化硅膜,然后,如上所述,可采用低温化学气相沉积法沉积第三层氮化硅层。如上所述,用氢自由基处理第三层氮化硅层。按照这一方式继续该过程,直到形成具有所需总厚度的氮化硅膜312。在一些应用实施方式中,在氮化硅层之前先形成沉积的或生长的氧化硅层,从而成为氮化硅层的基础。由于氮化硅层312是采用低温法形成的,并用氢自由基在低温下进行处理,形成源极/漏极扩展区域310的掺杂物在氮化硅形成期间基本不会在衬底302内发生移动或重新分布。按照这种方式,所制造的设备的电学特性是一致的。
接下来,如图3C所示,在形成足够厚的氮化硅层312之后,氮化硅312可被各向异性地蚀刻,从而形成沿着门电极306的横向相对侧壁延伸的侧壁隔离层314。各向异性的蚀刻法从水平表面上,例如源极/漏极扩展区310和门电极306的顶部上去除氮化硅层,同时留下竖直表面,如门电极306侧壁上的氮化硅。形成侧壁隔离层314之后,可进行对半导体器件的其它处理,如形成深的源极/漏极区域316和/或在源极/漏极区域上形成硅化物318。侧壁隔离层314允许深的源极/漏极区域发生偏移,以及允许硅化物,如硅化钛或硅化钴以本领域公知的自对准法形成于源极/漏极区域和门电极的顶部。
用于形成低温氮化硅膜的设备
理想情况下,本发明的氮化硅膜在低压热化学气相沉积反应器中形成。图4示出了合适的反应器400的一个示例。在本发明的一个实施方式中,氢自由基处理可在用于沉积氮化硅膜的同一个室内进行。为了在用于沉积膜的同一室内用氢自由基处理″沉积态″氮化硅膜,可将远程等离子源耦合到低压化学气相沉积反应器上,以向室内提供氢自由基源。与低压化学气相沉积反应器400相耦合的远程等离子体发生器源801的一个示例也示于图4中。将远程等离子体发生器801耦合到热化学气相沉积反应器400上,这极大地提高了本发明的产量,并能在氮化硅沉积之后直接用氢自由基处理氮化硅层。此外,当采用连续沉积/处理循环来形成厚氮化硅膜,如厚度大于200
Figure A20091014256800141
的氮化硅膜时,这类设备极大地提高了晶片产量。
图4示出了反应容器组件(反应器)400。图4所示的反应器400包括室本体406,其限定了反应室408,其中,工艺气体,前体气体或反应气体在反应室中发生热分解而形成位于晶片衬底(未示出)上的含硅膜。室本体406由能使室维持10-约350托压力的材料构成。在一个示例性的实施方式中,室本体406由铝合金材料构成。室本体406包括通道410,用于温度受控流体(temperature controlled fluid)被抽吸穿过该通道,以冷却室本体406。由于配备有温度受控流体通道,反应器400被称作″冷壁″反应器。对室本体406的冷却防止了由反应物质和高温的存在而造成对形成室本体406的材料的腐蚀。
位于室本体406内的有反应室408,室盖426,面板(或喷头)430,阻挡板428,以及电阻性加热组件404。电阻性加热组件404包括沿着加热器管414的引线412,该加热器管414由镍制成。加热器管414的末端是由烧结的AIN制成的加热盘416。加热盘416内部是由钼制造的一个或多个加热线圈418。引线412和线圈418通过铜焊相连接,并在此具有电传导性。引线412与AIN陶瓷套管420是热绝缘的。线圈418供给大部分的电阻,并因此供给大部分的反应室408加热热量。在加热盘416的末端是被称作晶片槽422的凹槽,凹槽422内放置有晶片(未示出)。
图4示出了室体408中还设有升降机组件436。升降机组件436为晶片衬底(未示出)移入和移出反应室408提供了便利。升降机组件436可以是步进电机。步进电机沿着轴405上下移动加热器组件404,从而为晶片衬底移入和移出反应室408提供了便利。
例如采用机械手式传送机构(未示出)通过入口434而将衬底或晶片放置到反应室408中。在一个实施方式中,机械手式传送机构与转送片相连接,该转送片由机械手式传送机构控制。转送片通过开口插入衬底,将衬底装载到反应室408中和加热盘416的凹槽422上。在装载衬底时,用升降机组件436沿轴405的下方向降低加热组件404和加热盘416,从而使加热盘416的表面低于入口434。当加热盘416被降低时,衬底被放置到反应室408中。
一旦装载了衬底,入口434被封住,且升降机组件436在上方向(即向上)朝着面板430移动或抬升移动或提升加热器组件404和加热盘416。在一种示例性的实施方式中,当晶片衬底距离面板430很近时(例如400-900密尔),则停止提升。
在一个示例性的实施方式中,当准备沉积或处理时,将由气体控制板401控制的工艺气体或前体气体被引导到反应室408中。阻挡板428上具有许多孔(未示出)以调节流经的气体。工艺气体首先穿过端口424,穿过阻挡板428,然后穿过面板430而被引入到反应室408中。工艺气体从端口424出发,穿过阻挡板428上的多个孔,然后穿过面板430而分布。面板430使处理气体均匀地配送到反应室408内。
通过例如向下(降低)加热组件404的方法,可从室内移出衬底。当加热组件404以向下的方向移动时,通过升降机组件436的作用,使得升降杆或起模杆442与接触升降板444相接触并保持稳定,最后伸出加热盘416的顶表面,在加热盘416降低时使衬底与加热盘相分离。然后将转送片穿过开口434插入而设置于衬底和加热盘416之间。然后降低接触升降板444,从而降低起升降杆442,使衬底降低放到转送片上。然后衬底可用转送片通过入口434移出。
对后续的衬底可重复如上的机制。对一种合适的升降机组件436的详细描述见美国专利No.5772773,该专利已被转让给位于加利福尼亚州圣克拉拉市的应用材料有限公司。
反应器400还包括温度指示器(未示出),以监测反应室408内部的处理温度。在一个示例中,温度指示器可以是热电偶,该热电偶的设置能方便地提供加热盘416表面的温度数据(或由加热盘415支撑的衬底的表面的温度数据)。反应器400中,衬底的温度比加热盘416的温度稍低20-30℃。
图4还示出了反应室408衬有温度受控的衬垫或绝缘衬垫409。如上所述,室本体406包括温度受控流体的通道410,从而产生冷壁室效果。反应室408内部的反应温度可高至600℃或更高。由于用于形成膜的化学品在反应室408中的使用,高温将易于腐蚀反应室408的室本体406。因此,室本体406配有为温度受控流体如水或其它冷却室本体406的冷却剂流体设置的通道410。这将防止室本体406变得太热,而室本体406太热将导致室体406容易受到腐蚀。与这种冷壁室有关的一个问题是:反应室408内与室的冷壁非常接近的区域容易经历温度的急剧下降的情况。这些区域中的温度急剧下降促进了颗粒的形成或凝聚,这对于在反应室408内形成的含硅膜而言是不希望的或不宜的。例如,在用于形成氮化硅(Si3N4)膜的沉积过程中,HCD和NH3的反应容易导致形成NH4Cl。NH4Cl是一种不希望的盐类副产物,其需要被清洗掉以防止对所形成的Si3N4造成污染。当温度降低到约150℃以下时,如NH4Cl的凝聚将会发生。这些颗粒可能会离开室壁。离开室壁的颗粒在晶片衬底上成为形成颗粒的成核位点。在一个实施方式中,反应室408衬有温度受控衬垫409,从而防止不希望发生的颗粒凝聚现象。
在一个实施方式中,温度受控衬垫409连接到室本体406的壁上,使温度受控衬垫409沿着室本体406的壁仅具有几个物理接触点(如参见图4所示的接触点459)。将温度受控衬垫409和室本体406的壁之间的物理接触降至最少,因而通过将传导点降至最低而实现了室体406的热损失最小化。
在沉积期间,可将吹扫气体或清洗气体(例如氮气)输入到反应室408的底部,从而防止发生不希望的沉积。
反应器400也与一个或多个压力调节器(未示出)相耦合。压力调节器建立并保持反应室408内的压力。这类压力调节器是本领域公知的。可用于示例性实施方式中的压力调节器必须能将压力保持在约10托-约350托的范围内。或者,反应器400也可耦合到气体泵出系统(未示出)上,该气体泵出系统在本领域是公知的,其目的是将气体抽出反应室408。气体泵出系统(例如,其可包括一个或多个节流阀)也可用于控制反应室408内的压力。反应器400还耦合到监测反应室408内处理压力的多个传感器(未示出)上。
在一个实施方式中,控制器或处理器/控制器900耦合到室本体406上以接收传感器表示室压力的信号。处理器/控制器900也可耦合到气体控制板401系统以控制氮源气体流、硅源气体流和惰性气体流和/或吹扫气体流。处理器900可与一个或多个压力调节器联合作用,以调节或保持反应室408内的所需压力。此外,处理器/控制器可控制加热盘的温度,从而控制置于其上的衬底温度。处理器/控制器900包括存储器,该存储器中存有计算机可读形式的指令,用于控制氮源气体流、硅源气体流和惰性气体流,以及将室内压力和加热盘温度控制在上述为形成本发明氮化硅膜的参数范围内。例如,处理器/控制器900的存储器中存有将衬底加热到小于或等于550℃的指令;向室408内提供硅源气体、氮源气体和/或硅/氮源气体,同时将衬底加热到小于或等于550℃的指令;以及将室408内的压力控制在10-350托之间的指令。
对反应器400中各部件的材料进行选择,使暴露的部件必须与本发明的高温处理相适应。本发明的前体或反应物物质发生热分解而形成含硅膜,该热分解所涉及的反应室408内部的温度高至600℃。反应器400中各部件的材料应为能承受这一高温的类型。在一个实施方式中,室本体406由抗腐蚀的金属,如硬质阳极氧化的铝板制成。这一类型的铝通常是很昂贵的。或者,室本体406包括为温度受控流体的流经而设置的通道401。为温度受控流体设置的通道使室本体406能由非常便宜的铝合金或其它合适的金属制造,原因是通道410将保持室体406冷却。正如所述的,这是为什么通常将反应器400称为冷壁反应器的原因。为防止在冷壁或冷却的室本体406上发生不希望的凝聚,上述的温度受控衬垫409可采用吸收来自反应室408的热辐射的材料制造,并将温度受控衬垫409的温度保持在至少约150℃或高于150℃的温度下,或者保持在至少约200℃或高于200℃的温度下,这取决于形成膜的应用。在一个实施方式中,温度受控衬垫409所需要保持的温度足以防止发生不希望的聚集。
此外,部件材料也应该与工艺气体和其它化学物质,如可能被导入到反应室408中的清洗用化学物质和前体相适应。在一个实施方式中,加热组件404的暴露表面可由各种材料构成,条件是该材料与处理方法相适应。例如,这一讨论中的示例性实施方式要求在高温下应用的腐蚀性化学物质。因而,加热组件的部件必须耐受这一环境。在一个示例中,加热组件由陶瓷材料,如氮化铝(AIN)制成。加热组件404的加热盘416也可由氮化铝材料组成。
在一个示例性实施方式中,采用稳定性气体,如N2,He,Ar或其组合来稳定反应室408。在一个示例中,将稳定性气体释放到反应室408内的气体控制板系统401中包括气体歧管。稳定性气体的流速范围优选为1,000sccm-10,000sccm,对容量为5-6升反应器400来说,优选为约2,000sccm。
在本发明的一个实施方式中,反应器400耦合到远程等离子体发生器801上,该远程等离子体发生器产生氢自由基并向沉积室408供给氢自由基。远程等离子体发生器801包括以微波源产生微波的磁控管802。磁控管802可优选产生至多10,000瓦,2.5Ghz的微波能量。应该注意到:所需要的功率量取决于室408的尺寸(与室408的尺寸成比例)。对用于处理300mm晶片的退火室,10,000瓦的能量应该是足够的。尽管采用微波源在设备800中产生等离子体,但也可采用其它的能量源,如射频(RF)。
磁控管802耦合到绝缘体和为阻抗匹配而提供的虚负载804上。虚负载吸收反射的功率,因此并无反射的功率到达磁控管的头部。绝缘体和虚负载804连接,其将微波能量传递给自动调谐器808。波导管808由阻抗匹配头和单独的检测器模块构成,该单独的检测器模块采用由三台步进电机驱动的阻抗匹配轴端,以减少被导向电源的微波能量的反射功率。自动调谐器808将微波能量聚焦到微波作用器腔810的中心,从而将被氢处理气体吸收的能量通过导管812而输入到微波作用器腔810中。尽管优选自动调谐器,但也可利用手动的调谐器。
作用器810采用从磁控管802接收的微波能量,在氢处理气体向下流经位于微波作用器810内部的石英等离子体管时,从氢处理气体生成等离子体。将源814连接到微波作用器810上,该源814的例子有用于产生氢自由基的例如但不限于H2和NH3的氢处理气体罐。此外,也可将例如氩(Ar)或氦(He)的惰性气体源耦合到微波作用器810上。预先点火的汞灯可用于将紫外光辐射到等离子体管中,使工艺气体部分电离,从而使微波能量更易于点燃等离子体。
来自磁控管802的微波能量将氢处理气体转化为基本包括下列三种组分的等离子体:电离的或带电荷的氢原子,活化的(或活性的)电中性氢原子,以及含中间产物氢的物质,这三种组分的目的都是为了构建″氢自由基″。
微波作用器810可用螺栓固定在设备400的盖上。浓缩的等离子体混合物向下流经导管814到达室408中。由于氢自由基在与将被退火的衬底所处的室408分开的或远处(室810)产生,氢自由基被称为是″远程产生的″。
远程等离子体源801可与处理器/控制器900相耦合。处理器/控制器900可包括以计算机可读格式存储于存储器中的许多指令,其控制远程等离子体源801的操作而实现上述的氢自由基处理过程。各种指令可例如包括控制氢处理气体流速和功率以获得处理氮化硅膜所必须的预期氢自由基流量的指令,预期氢自由基流量例如为5x1015个/cm2原子-1x1017个/cm2原子,也可包括控制加热盘的温度(并从而控制晶片温度)的指令,以及控制自由基处理过程期间室408内部压力的指令。
此外,尽管已经就采用单晶片设备的情况对本发明展开了描述,但在需要的情况下,本发明的沉积和处理方法也可在半批次的或管式设备中进行,而并不偏离本发明的范围。
在本发明的另一种实施方式中,低温氮化硅沉积工艺可在群集工具,如图5所示的群集工具500中进行。群集工具500包括可密封运送室或转移室502,该密封运送室502中含有晶片晶片输送装置504,例如机械手。将一个装载锁或一对装载锁506通过可密封的门连接到运送室502上,使晶片能通过机械手504被送入或送出群集工具500。通过可密封的门与运送室502相连接的有氮化硅沉积反应器508,例如具有电阻性加热器的应用材料有限公司的Xgen单晶片冷壁热化学气相沉积反应器。可通过可密封的门与运送室502相连接的还有图5所示的氢自由基处理室510。氢自由基处理室例如可为等离子体室,具体如应用材料有限公司的高级去除钝化层附加(ASP,Advanced StripPassivation Plus)室;远程等离子体室,具体如应用材料有限公司的远程等离子体渗氮(RPN,Remote Plasma Nitridation)室,或″热线″室。典型地,运送室502保持在减压下,包含惰性环境,如N2。按照这种方式,可将晶片从一个室(例如,氮化硅沉积室508)转移到另一个室(例如,氢自由基处理室),反之亦然,无需将晶片暴露到氧化环境或污染物中。群集工具500也可包括上述的处理器/控制器900,以控制对氮化硅沉积反应器500以及氢自由基处理室510的操作,从而按照上述方法沉积氮化硅膜和按照上述方法用氢自由基处理氮化硅膜。
在使用中,通过机械手504将晶片或衬底,例如图3A所示的晶片从装载锁506送入运送室502中。将晶片运送到氮化硅沉积室508中,其间的门是密封的,采用低沉积温度方法在晶片上形成氮化硅膜。一旦在晶片上形成了氮化硅膜,则采用机械手504从氮化硅沉积室508中移出晶片,并用机械手504将其送入到氢自由基处理室510中。然后密封氢自由基处理室510和运送室502之间的门,并按照上述的方法使氮化硅膜暴露于氢自由基中。如果需要较厚的氮化硅膜,则可从室510中移出晶片,使其返回到氮化硅沉积室508中以沉积额外的氮化硅。再一次将晶片从氮化硅沉积室508中移出并使其回到氢自由基处理室510中并再次用氢自由基进行处理。晶片可在沉积室508和处理室510之间连续转移,直到获得所需厚度和质量的氮化硅膜。一旦形成了充分厚的氮化硅层,则从群集工具500中移出晶片。
因此,本文已经公开了在低沉积温度下沉积和处理高质量氮化硅膜的方法和设备。

Claims (25)

1.一种形成氮化硅膜的方法,包括:
将衬底加热到550℃或更低的温度;
在将所述衬底加热到550℃或更低温度的同时,对含硅和氮源气体或者含硅源气体和含氮源气体进行热分解,从而在所述衬底上形成氮化硅膜,其中所述含硅和氮源气体或者含硅源气体选自有机乙硅烷、有机甲硅烷、有机氨基乙硅烷和有机氨基甲硅烷;并且
利用氢自由基来处理所述氮化硅膜。
2.根据权利要求1所述的方法,其中,所述氢自由基通过含氢源气体的等离子体分解而形成。
3.根据权利要求2所述的方法,其中,所述含氢源气体包括NH3
4.根据权利要求3所述的方法,其中,所述含氢源气体进一步包括H2
5.根据权利要求2所述的方法,其中,所述含氢源气体包括H2
6.根据权利要求5所述的方法,其中,与所述含氢源气体一起提供惰性气体,该惰性气体选自由氮气、氩和氦组成的组。
7.根据权利要求2所述的方法,其中,所述源气体的所述等离子体分解发生于远离用氢自由基来处理所述氮化硅膜的所述室的另一个室中。
8.根据权利要求1所述的方法,其中,利用所述氢自由基对所述氮化硅膜所作的处理在100毫托-5托的压力下进行。
9.根据权利要求1所述的方法,其中,所述氮化硅膜的氯浓度在所述膜被处理之前为1.0at.%以上,在所述膜被处理之后为1.0at.%以下。
10.根据权利要求1所述的方法,其中,所述氮化硅膜的碳浓度在所述膜被处理之前为10at.%以上,在所述膜被处理之后为5at.%以下。
11.根据权利要求1所述的方法,其中,形成所述氮化硅膜的沉积速率大于1
Figure A2009101425680003C1
/分钟。
12.根据权利要求1所述的方法,其中,在利用所述氢自由基进行处理之前,所形成的所述氮化硅膜的厚度小于150
Figure A2009101425680003C2
13.根据权利要求1所述的方法,其中,在所述氢自由基处理之前,所述氮化硅膜的氢浓度为15at.%以上。
14.根据权利要求1所述的方法,其中,所述硅源气体或所述硅和氮源气体包括与氮原子相键合的有机基团,该氮原子与第一硅原子键合,而该第一硅原子与第二硅原子之间具有一个单键。
15.根据权利要求1所述的方法,其中,所述含硅源气体或所述含硅和氮源气体包括氯化或未氯化的氨基硅烷或氨基乙硅烷R2N-Si(R′2)-Six(R′2)y-NR2(x=y=0或1;R,R′是Cl、或甲基、或乙基、或异丙基、或其它烷基基团、或另一个烷基氨基基团、或含N的环状基团、或甲硅烷基基团的任意组合)。
16.根据权利要求1所述的方法,进一步包括,通过对含硅和氮源气体或含硅源气体和含氮源气体进行热分解而在所述处理过的氮化硅膜上形成第二层氮化硅膜;并且
利用氢自由基来处理所述第二层氮化硅膜。
17.根据权利要求1所述的方法,其中,利用氢自由基以5×1015个原子/cm2-1×1017个原子/cm2的流量处理所述氮化硅膜。
18.根据权利要求17所述的方法,其中,利用所述氢自由基处理所述氮化硅膜时的压力为100毫托-5托。
19.根据权利要求1所述的方法,其中,形成所述氮化硅膜时所述室内的压力为10-350托。
20.一种形成氮化硅膜的方法,包括:
通过对含硅和氮源气体或含硅源气体和含氮源气体进行热分解而在550℃以下的温度以大于100
Figure A2009101425680004C1
/分钟的沉积速率沉积氮化硅膜,达到150
Figure A2009101425680004C2
以下的厚度,其中所述含硅和氮源气体或者含硅源气体选自有机乙硅烷、有机甲硅烷、有机氨基乙硅烷和有机氨基甲硅烷;以及
利用由含氢源气体进行等离子体分解形成的氢自由基处理所述沉积的氮化硅膜而形成处理过的氮化硅膜。
21.根据权利要求20所述的方法,其中,所述含氢源气体包括NH3
22.根据权利要求20所述的方法,其中,所述含氢源气体包括H2
23.根据权利要求20所述的方法,其中,所述含氢源气体包括NH3和H2
24.根据权利要求20所述的方法,其中,利用氢自由基处理所述沉积的氮化硅膜之前,所述氮化硅膜的氢浓度为15at.%以上,其大部分为Si-H形式的键,而利用氢自由基处理所述沉积的氮化硅膜之后,所述处理过的氮化硅膜的氢浓度为1以下,Si-H键显著减少。
25.根据权利要求20所述的方法,其中,所述等离子体分解在远离用氢自由基处理所述氮化硅膜的室的另一个室中进行。
CN2009101425686A 2002-12-20 2003-12-18 形成高质量的低温氮化硅膜的方法和设备 Expired - Fee Related CN101597754B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/327,467 US7172792B2 (en) 2002-12-20 2002-12-20 Method for forming a high quality low temperature silicon nitride film
US10/327,467 2002-12-20

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801082583A Division CN100529174C (zh) 2002-12-20 2003-12-18 形成高质量的低温氮化硅膜的方法和设备

Publications (2)

Publication Number Publication Date
CN101597754A true CN101597754A (zh) 2009-12-09
CN101597754B CN101597754B (zh) 2012-04-04

Family

ID=32594261

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2003801082583A Expired - Fee Related CN100529174C (zh) 2002-12-20 2003-12-18 形成高质量的低温氮化硅膜的方法和设备
CN2009101425686A Expired - Fee Related CN101597754B (zh) 2002-12-20 2003-12-18 形成高质量的低温氮化硅膜的方法和设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2003801082583A Expired - Fee Related CN100529174C (zh) 2002-12-20 2003-12-18 形成高质量的低温氮化硅膜的方法和设备

Country Status (7)

Country Link
US (1) US7172792B2 (zh)
EP (1) EP1608792A2 (zh)
JP (1) JP2006511946A (zh)
KR (1) KR101020116B1 (zh)
CN (2) CN100529174C (zh)
AU (1) AU2003301123A1 (zh)
WO (1) WO2004059707A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101942649A (zh) * 2010-10-21 2011-01-12 韩山师范学院 一种实现低温构筑高密度纳米硅结构的方法

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060124588A1 (en) * 1999-01-05 2006-06-15 Berg & Berg Enterprises, Llc System and method for reducing metal oxides with hydrogen radicals
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
KR100576850B1 (ko) * 2003-10-28 2006-05-10 삼성전기주식회사 질화물 반도체 발광소자 제조방법
US20050227017A1 (en) * 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US7109046B1 (en) * 2004-01-30 2006-09-19 Advanced Micro Devices, Inc. Surface oxide tabulation and photo process control and cost savings
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
TW200619416A (en) * 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7151052B2 (en) * 2005-04-28 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple etch-stop layer deposition scheme and materials
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7750326B2 (en) * 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
KR100685742B1 (ko) * 2005-07-27 2007-02-22 삼성전자주식회사 불휘발성 메모리 장치 및 이의 제조 방법
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7531411B2 (en) * 2005-10-12 2009-05-12 Macronix International Co., Ltd. Apparatus and method for a non-volatile memory structure comprising a multi-layer silicon-rich, silicon nitride trapping layer
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
KR101304726B1 (ko) * 2006-04-03 2013-09-05 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 화학적 증착에 의한 질화규소 필름 및/또는 산화질화규소 필름의 침착 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US20080014759A1 (en) * 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080124855A1 (en) * 2006-11-05 2008-05-29 Johnny Widodo Modulation of Stress in ESL SiN Film through UV Curing to Enhance both PMOS and NMOS Transistor Performance
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US20130012030A1 (en) * 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN102412125B (zh) * 2011-04-29 2013-12-04 上海华力微电子有限公司 一种制造高拉应力氮化硅薄膜的方法
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
TWI504555B (zh) * 2014-07-21 2015-10-21 Nanomaterial Innovation Ltd 一種形成奈米片狀結構網絡在基材上的製備方法及其應用
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6807860B2 (ja) * 2015-03-20 2021-01-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3dコンフォーマル処理用原子層処理チャンバ
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
CN105609411A (zh) * 2016-02-26 2016-05-25 上海华力微电子有限公司 改善hcd氮化硅片均匀性的方法
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9876097B2 (en) 2016-06-14 2018-01-23 International Business Machines Corporation Selectively formed gate sidewall spacer
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
US10236207B2 (en) 2016-06-20 2019-03-19 Applied Materials, Inc. Hydrogenation and nitridization processes for reducing oxygen content in a film
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10468412B2 (en) 2016-06-28 2019-11-05 International Business Machines Corporation Formation of a semiconductor device with selective nitride grown on conductor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9704754B1 (en) 2016-09-22 2017-07-11 International Business Machines Corporation Self-aligned spacer for cut-last transistor fabrication
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US11017997B2 (en) 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11037780B2 (en) * 2017-12-12 2021-06-15 Asm Ip Holding B.V. Method for manufacturing semiconductor device with helium-containing gas
KR20200104923A (ko) * 2018-01-26 2020-09-04 어플라이드 머티어리얼스, 인코포레이티드 실리콘 질화물 박막들을 위한 처리 방법들
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11393674B2 (en) * 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR102542281B1 (ko) * 2018-07-24 2023-06-13 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
JP7103915B2 (ja) 2018-10-26 2022-07-20 浜松ホトニクス株式会社 ファイバ構造体、パルスレーザ装置、及びスーパーコンティニューム光源
KR20210109046A (ko) * 2019-01-24 2021-09-03 어플라이드 머티어리얼스, 인코포레이티드 질화규소를 증착하는 방법들
JP7278123B2 (ja) * 2019-03-22 2023-05-19 東京エレクトロン株式会社 処理方法
TW202111825A (zh) * 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
US11631583B2 (en) * 2019-10-25 2023-04-18 Applied Materials, Inc. RF power source operation in plasma enhanced processes
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
JPS6251264A (ja) 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
US5264724A (en) * 1989-02-13 1993-11-23 The University Of Arkansas Silicon nitride for application as the gate dielectric in MOS devices
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
ES2067251T3 (es) * 1990-09-11 1995-03-16 Procter & Gamble Procedimiento mejorado para obtener poliesteres de acidos grasos y polioles altamente esterificados que tienen niveles reducidos de cetonas digrasas y beta-cetoesteres.
JPH04365379A (ja) 1991-06-13 1992-12-17 Fuji Electric Co Ltd 薄膜トランジスタの製造方法
JPH0613329A (ja) * 1992-06-25 1994-01-21 Canon Inc 半導体装置及び半導体製造装置及び製造方法
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
CN1101756A (zh) * 1993-10-14 1995-04-19 上海德佳科技综合公司 一种生产硅太阳电池的方法及相关的设备
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
WO1995018460A1 (en) * 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
JP3348509B2 (ja) * 1994-03-30 2002-11-20 ソニー株式会社 絶縁膜の成膜方法
JP3571404B2 (ja) * 1995-03-03 2004-09-29 アネルバ株式会社 プラズマcvd装置及びその場クリーニング後処理方法
JP2757850B2 (ja) * 1996-04-18 1998-05-25 日本電気株式会社 薄膜トランジスタおよびその製造方法
JP3220645B2 (ja) * 1996-09-06 2001-10-22 富士通株式会社 半導体装置の製造方法
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
JPH10261658A (ja) * 1997-03-17 1998-09-29 Toyota Motor Corp 半導体装置の製造方法
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
WO2001004946A1 (en) * 1999-07-08 2001-01-18 Hitachi, Ltd. Semiconductor device and method for producing the same
JP3406250B2 (ja) 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR100338125B1 (ko) * 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
JP2001258139A (ja) * 2000-03-09 2001-09-21 Mitsubishi Electric Corp 電気所の引留鉄構
JP3549188B2 (ja) 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6468903B2 (en) 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
JP2002231628A (ja) * 2001-02-01 2002-08-16 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6583046B1 (en) * 2001-07-13 2003-06-24 Advanced Micro Devices, Inc. Post-treatment of low-k dielectric for prevention of photoresist poisoning
KR101022949B1 (ko) 2002-12-20 2011-03-16 어플라이드 머티어리얼스, 인코포레이티드 고품질 저온 실리콘질화물층 형성 방법 및 장치
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP4474596B2 (ja) * 2003-08-29 2010-06-09 キヤノンアネルバ株式会社 シリコンナノ結晶構造体の形成方法及び形成装置
JP2005150637A (ja) * 2003-11-19 2005-06-09 Canon Inc 処理方法及び装置
JP4653949B2 (ja) * 2003-12-10 2011-03-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101942649A (zh) * 2010-10-21 2011-01-12 韩山师范学院 一种实现低温构筑高密度纳米硅结构的方法

Also Published As

Publication number Publication date
KR101020116B1 (ko) 2011-03-08
US7172792B2 (en) 2007-02-06
EP1608792A2 (en) 2005-12-28
KR20050085838A (ko) 2005-08-29
JP2006511946A (ja) 2006-04-06
US20040121085A1 (en) 2004-06-24
AU2003301123A8 (en) 2004-07-22
CN1735710A (zh) 2006-02-15
AU2003301123A1 (en) 2004-07-22
CN100529174C (zh) 2009-08-19
CN101597754B (zh) 2012-04-04
WO2004059707A2 (en) 2004-07-15
WO2004059707A3 (en) 2004-09-02

Similar Documents

Publication Publication Date Title
CN100529174C (zh) 形成高质量的低温氮化硅膜的方法和设备
CN100567564C (zh) 形成高质量的低温氮化硅层的方法和设备
US7972663B2 (en) Method and apparatus for forming a high quality low temperature silicon nitride layer
US8809161B2 (en) Flowable film dielectric gap fill process
CN100536161C (zh) 具有控制应力的氮化硅膜
US20080246101A1 (en) Method of poly-silicon grain structure formation
US20060068599A1 (en) Methods of forming a thin layer for a semiconductor device and apparatus for performing the same
WO2005096362A1 (ja) 金属シリケート膜の成膜方法および装置、並びに半導体装置の製造方法
KR101022949B1 (ko) 고품질 저온 실리콘질화물층 형성 방법 및 장치
US20120201959A1 (en) In-Situ Hydroxylation System
WO2006019861A1 (en) Deposition of nano-crystal silicon using a single wafer chamber
US20130087099A1 (en) In-Situ Hydroxylation Apparatus
JP3915697B2 (ja) 成膜方法及び成膜装置
US20100203243A1 (en) Method for forming a polysilicon film
Kamins et al. Deposition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120404

Termination date: 20151218

EXPY Termination of patent right or utility model