CN101199042B - 一种集成电路 - Google Patents

一种集成电路 Download PDF

Info

Publication number
CN101199042B
CN101199042B CN2006800218170A CN200680021817A CN101199042B CN 101199042 B CN101199042 B CN 101199042B CN 2006800218170 A CN2006800218170 A CN 2006800218170A CN 200680021817 A CN200680021817 A CN 200680021817A CN 101199042 B CN101199042 B CN 101199042B
Authority
CN
China
Prior art keywords
silicon
face
hard mask
etching
semiconductor body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800218170A
Other languages
English (en)
Other versions
CN101199042A (zh
Inventor
J·布拉斯克
J·卡瓦利罗斯
B·多勒
U·沙阿
S·达塔
A·马宗达
R·乔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101199042A publication Critical patent/CN101199042A/zh
Application granted granted Critical
Publication of CN101199042B publication Critical patent/CN101199042B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30617Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Abstract

描述了一种图案化半导体膜的方法。根据本发明的一个实施例,在具有全局晶体取向的半导体膜上形成硬掩模材料,其中半导体膜具有第一晶面和第二晶面,其中第一晶面比第二晶面密度高,并且其中硬掩模在第二晶面上形成。接着,将硬掩模和半导体膜图案化成硬掩模覆盖的半导体结构。然后,将硬掩模覆盖的半导体结构暴露于具有足以蚀刻第二晶面的化学强度但不具有足以蚀刻第一晶面的化学强度的湿法蚀刻过程。

Description

一种集成电路
发明背景 
1.技术领域
本发明涉及半导体处理领域,尤其涉及半导体结构及其制造方法。 
2.相关技术的讨论 
为了提高诸如微处理器之类的现代集成电路的性能,提出了绝缘体上的硅(SOI)晶体管。绝缘体上的硅(SOI)晶体管的优点在于它们能以全耗尽的方式工作。全耗尽晶体管的优点是具有优化导通电流/截止电流比的理想阈下梯度。所提出的能以全耗尽方式工作的SOI晶体管的例子是诸如图1所示的三栅晶体管100。三栅晶体管100包括在具有形成于单晶硅衬底105上的掩埋氧化物层103的绝缘衬底102上形成的硅体104。如图1所示,栅介电层106在硅体104的顶部和侧壁上形成。栅电极108在栅介电层上形成,并在三个侧面上围绕体104,实质上提供了具有三个栅电极(G1、G2、G3)的晶体管100:在硅体104的每一个侧壁上有一个栅电极,并在硅体104的顶面上有一个栅电极。如图1所示,源区110和漏区112在栅电极108相对两侧面上的硅体104中形成。有源沟道区是位于栅电极108之下且在源区110和漏区112之间的硅体区域。三栅晶体管100的优点在于它表现出良好的短通道效应(SCE)。三栅晶体管100表现出良好的短通道效应的一个原因是这种器件的非平面性使得栅电极108得以以在所有的三个侧面上围绕有源沟道区的方式设置。 
附图简述 
图1示出非平面即三栅晶体管。 
图2A-2E示出根据本发明的实施例形成半导体结构的方法。 
图2F是由图2E的结构形成的非平面晶体管的图示。 
图3A-3C示出根据本发明的实施例形成半导体结构的方法。 
图3D是利用图3C的半导体结构的非平面晶体管的图示。 
图4A-4C示出根据本发明的实施例形成半导体结构的方法。 
图4D是利用图4C的半导体结构的非平面晶体管的图示。 
图5是包括在一衬底上具有非平行方向的n型场效应晶体管和p型场效应晶体管的集成电路的一部分的图示。 
本发明的详细描述 
本发明的实施例描述了半导体结构和形成半导体结构的方法。在以下描述中,陈述了众多的细节,以提供对本发明的全面理解。在其它的例子中,为了不遮蔽本发明,没有特别详细地描述公知的半导体工艺和制造技术。 
本发明利用单晶半导体结构的原子层控制来使半导体器件的性能最佳化。在本发明的实施例中,将硬掩模覆盖的单晶结构暴露于各向异性湿法蚀刻剂中。该湿法蚀刻剂具有足以克服化学蚀刻反应的活化能势垒以便蚀刻半导体结构的低密度面的化学强度,但不具有足以克服化学蚀刻反应的活化能势垒的化学强度,从而不能蚀刻半导体结构的高密度面。通过选择适当的晶体取向、并通过在结构的低密度面上形成硬掩模、并通过使用具有适当的化学强度的湿法蚀刻化学性质,可形成具有期望的刻面、晶体取向和侧壁平滑度的半导体结构。在本发明的实施方式中,利用外延硅中的自然刻面来消除三维硅通道结构中的边缘粗糙度。在本发明的一个实施例中,利用自然刻面来形成可以很好地对沟道区进行栅控制的三维沟道结构。在本发明的其它实施例中,将PMOS和NMOS晶体管的半导体本体以特定排列形成于单晶半导体上,以利用该晶体取向并提高空穴和电子两者的迁移率。阅读以下的详细描述,将会清楚本发明的其它方面。 
根据本发明的实施方式,在图2A-2F中示出了利用自限制蚀刻和自然刻面形成三维半导体结构的方法。半导体结构的制造以衬底200开始。在本发明的一个实施例中,衬底200是绝缘体上的硅(SOI)衬底。SOI衬底200包括下面的单晶硅衬底202。诸如二氧化硅或氮化硅之类的绝缘层204在单晶衬底202上形成。单晶硅膜206在绝缘层204的上面形成。绝缘层204有时被称为“掩埋氧化物”层或“掩埋绝缘”层,且所形成的厚度足以将单晶硅膜206与下面的单晶硅衬底202隔离。在本发明的一个实施例中,绝缘层是厚度在200-2000埃的掩埋氧化物层。在本发明的一个实施例中,硅膜206是本征(即,未掺杂)硅外延膜。在其它的实施例中,将单晶硅膜206掺杂成p型或n型导电性,浓度水平在1×1016-1×1019原子/立方厘米之间。可原地掺杂硅膜206(即,沉积的同时掺杂)或在其形成于绝缘层204上之后通过例如离子注入法来掺杂。在沉积后掺杂硅膜206使n型器件和p型器件能够 在同一衬底上形成。在本发明的一个实施例中,所形成的硅膜的厚度约等于随后所形成的硅结构的期望高度。在本发明的一个实施例中,单晶硅膜206的厚度小于30纳米,并且理想地是约20纳米或更薄。 
绝缘体上的硅(SOI)衬底200能以任何公知的方法来形成。在一种称为SIMOX技术的绝缘体上的硅衬底的形成方法中,将氧原子以高剂量注入到单晶硅衬底中然后退火以在衬底内形成掩埋氧化物204。掩埋氧化物上的单晶硅衬底部分成为硅膜206。当前用于形成SOI衬底的另一种技术是一般称为“接合SOI”的外延硅膜转移技术。在该技术中,第一硅晶片具有生长在其表面上的稍后将在SOI结构中用作掩埋氧化物204的薄氧化物。接着,将高剂量的氢注入到第一硅晶片以在第一晶片的硅表面下形成应力区。然后将第一晶片翻转过来并接合到第二硅晶片的表面。然后将第一晶片沿由氢注入生成的高应力面劈开。劈开得到具有顶部的薄硅层和下面的掩埋氧化物的SOI结构,这些都在第二单结晶硅晶片的顶部上。诸如HCl平滑或化学机械抛光(CMP)之类的公知的平滑化技术可用于使硅膜206的顶部表面平滑至其期望的厚度。 
尽管将就形成于绝缘体上的硅(SOI)衬底上的硅结构来描述本发明,但本发明可在标准的单晶硅晶片或衬底上进行以形成“体”器件。硅结构可由单晶硅晶片直接形成或由形成于单晶硅衬底上的外延硅膜形成。另外,尽管是就单结晶硅结构的构造和由其形成的器件来说明本发明的实施例的,但本发明的方法和结构同样地适用于其它类型的半导体,诸如但不限于锗(Ge)、硅锗合金(SixGey)、砷化镓(GaAs)、锑化铟(InSb)、磷化镓(GaP)、以及锑化镓(GaSb)。因此,本发明的实施例包括半导体结构和利用诸如但不限于锗(Ge)、硅锗合金(SixGey)、砷化镓(GaAs)、锑化铟(InSb)、磷化镓(GaP)、以及锑化镓(GaSb)之类的半导体形成半导体结构的方法。 
在图2A中,单晶硅膜206具有如由 
Figure S2006800218170D00031
面限定的(100)全局晶体取向。具有(100)全局晶体取向的硅膜具有与膜的表面在同一平面上的<100>面。即,如图2A所示,具有(100)全局晶体取向的单结晶硅膜具有位于的 
Figure S2006800218170D00032
面中并具有z方向的法线轴的<100>面。 
在以下的描述中,用圆括号()来说明膜的全局晶体取向,如由 面限定并沿z方向,而用尖括号<>来描述在所述全局限定结晶膜内的具体局部平面。 
另外,如图2A所示,具有(100)晶体取向的单晶硅具有一对互相垂直的<110>面。即,(100)单晶硅具有位于 
Figure S2006800218170D00034
面中并具有沿y方向延伸的法线轴的<110>面,(100)单晶硅还具有位于 
Figure S2006800218170D00035
面中并具有沿x方向延伸的法线轴的<110>面。在本发明的一 个实施例中,将具有(100)全局晶体取向的硅膜206蚀刻以形成具有由<110>面形成的一对横向相对的侧壁以及与其垂直并位于<110>面中的第二对横向相对的侧壁的硅结构。 
为了将硅膜206蚀刻成硅体,可在硅膜206的顶部表面219上形成硬掩模材料208。硬掩模材料208是一种可提供用于硅膜206的蚀刻的硬掩模的材料。硬掩模材料208是一种能在硅膜206的蚀刻期间保持其外形(profile)的材料。硬掩模材料208是一种在硅膜206的蚀刻期间不被蚀刻或仅被轻微蚀刻的材料。在本发明的一个实施例中,硬掩模材料由这样一种材料形成,使得用于蚀刻硅膜206的蚀刻剂蚀刻硅膜206比蚀刻硬掩模材料快5倍且理想地至少快10倍。即,在本发明的一个实施例中,将硅膜和硬掩模选择为提供至少5∶1和理想地至少10∶1的蚀刻选择性。在本发明的一个实施例中,硬掩模材料208由氮化硅或氧氮化硅形成。在本发明的一个实施例中,硬掩模材料208由通过低压化学气相沉积(LPCVD)工艺形成的含有0-5%之间的碳的氮化硅膜形成。所形成的硬掩模材料208的厚度应足以在硅膜206的整个蚀刻期间维持其外形但不能厚到给图案化造成困难。在本发明的一个实施例中,所形成的硬掩模材料208的厚度为介于3纳米至50纳米之间,理想地是约10纳米。 
接着,如图2B所示,在硬掩模材料208上形成光刻胶掩模210。光刻胶掩模210包含将转移到硅膜206上的特征图案。光刻胶掩模210可由任何公知的技术形成,诸如通过均厚沉积光刻胶材料、然后掩蔽、曝光并显影光刻胶材料,成为具有用于硅膜206的期望的图案的光刻胶掩模210。光刻胶掩模210一般由有机化合物形成。所形成的光刻胶掩模210的厚度为在图案化硬掩模208的同时足以维持其外形、但又不至于厚到阻碍其光刻图案化至对于所采用的光刻系统和工艺所能够实现的最小的尺寸(即,关键尺寸)。在本发明的一个实施例中,光刻胶掩模210在单晶硅膜206上的定向应限定出一个具有与<110>晶面对齐的一对横向相对的侧壁和与该第一对与<110>面对齐的侧壁垂直的第二对横向相对侧壁的光刻胶掩模。 
接着,如图2C所示,与光刻胶掩模210对齐地蚀刻硬掩模材料208以形成如图2C所示的硬掩模212。光刻胶掩模210防止硬掩模材料208的下面的部分被蚀刻。在本发明的一个实施例中,用可蚀刻硬掩模材料但不蚀刻下面的硅膜206的蚀刻剂蚀刻硬掩模材料208。在本发明的一个实施例中,用对下面的硅膜206具有几乎完美的选择性的蚀刻剂蚀刻硬掩模材料。即,在本发明的一个实施例中,硬掩模蚀刻剂蚀刻硬掩模材料208比蚀刻下面的硅膜206至少快20倍(即,蚀刻剂具有至 少20∶1的硬掩模-硅膜选择性)。当硬掩模材料208是氮化硅或氧氮化硅膜时,可利用诸如反应离子蚀刻之类的干法蚀刻工艺将硬掩模材料208蚀刻成硬掩模212。在本发明的一个实施例中,利用包括CHF3、O2和Ar的化学物质反应离子蚀刻氮化硅或氧氮化硅硬掩膜。 
接着,如图2C所示,在将硬掩模薄膜208图案化成硬掩模212后,可通过公知的技术去除光刻胶掩模210。例如,可利用包括硫酸和过氧化氢的“piranha(食人鱼)”清洗溶液去除光刻胶掩模210。此外,来自光刻胶掩模210的残余可利用O2灰化来去除。 
尽管并非必需,但期望的是在图案化硅膜206之前去除光刻胶掩模210,使得来自光刻胶的聚合物膜不在图案化硅膜206的侧壁上形成。例如,当硅膜206用作非平面器件的半导体本体或凸凌时,期望的是在蚀刻硅膜之前首先去除光刻胶掩模,因为干法蚀刻工艺可腐蚀光刻胶掩模并导致在硅体的侧壁上生长聚合物膜,该聚合物膜难以去除且会不利地影响器件性能。 
接着,如图2D所示,与硬掩模212对齐地蚀刻硅膜206以形成具有与<110>晶面对齐的第一对横向相对侧壁218和与<110>晶面对齐的第二对横向相对侧壁220的图案化硅膜214。硬掩模212防止在蚀刻工艺期间硅膜206的下面的部分被蚀刻。在本发明的一个实施例中,蚀刻持续到到达下面的掩埋氧化物层204。用在不显著蚀刻硬掩模212的情况下蚀刻硅膜206的蚀刻剂蚀刻硅膜206。在本发明的一个实施例中,用蚀刻硅膜206的速率比蚀刻硬掩模212的速率快5倍,理想地快10倍的蚀刻剂蚀刻硅膜206(即,蚀刻剂对硅膜206与硬掩模212的蚀刻选择性至少是5∶1,理想地至少是10∶1)。可利用任何适当的工艺来蚀刻硅膜206。在本发明的一个实施例中,各向异性地蚀刻硅膜206使得硅体214具有与硬掩模212的侧壁对齐地形成的几乎垂直的侧壁218。当硬掩模212是氮化硅或氧氮化硅膜时,可利用诸如反应离子蚀刻(RIE)或具有包括Cl2和HBr的化学物质的等离子体蚀刻之类的干法蚀刻工艺来蚀刻。 
在蚀刻硅膜206形成硅体或结构214后,侧壁218一般将具有约2-4纳米的线边缘粗糙度222。当形成具有仅20-30纳米的侧壁218之间的宽度的硅体或结构时,这一表面粗糙度大得不可接受,会不利地影响器件性能。 
因此,在本发明的一个实施例中,在硬掩模212存在于结构214上的时候将硅结构214暴露于湿法蚀刻或“刻面”蚀刻,以去除边缘粗糙度和/或修整该结构的形状以增强器件性能。在本发明的一个实施例中,将覆盖了硬掩模212的硅结构 214暴露于各向异性湿法蚀刻剂中。湿法蚀刻剂具有足以克服化学蚀刻反应的活化能势垒以便蚀刻半导体结构的低密度面的化学强度,但不具有足以克服化学蚀刻反应的活化能势垒的化学强度,从而不能蚀刻密度大的面。 
在本发明的一个实施例中,使用可蚀刻较低密度的<100>和<110>面但不能蚀刻较高密度的<111>面湿法蚀刻化学物质和工艺。因为硬掩模212覆盖硅结构214的顶部表面上的低密度<100>面,所以所述较低密度的面被保护免受蚀刻。因为顶部表面上的较低密度面<100>被遮蔽并且因为蚀刻剂不具有足以蚀刻<111>面的化学强度,所以湿法蚀刻停止在第一完全完整或邻接的<111>面上,如图2E所示。由此,“刻面”或湿法蚀刻是自限制的。因此,依据湿法蚀刻的自我限制,仅<111>面和用于屏蔽较小密度的<110>和<100>面的抗蚀膜维持暴露。本发明的刻面蚀刻可被称为各向异性蚀刻,因为它在一个方向上以一种速率蚀刻而在其它方向上以第二较慢的速率蚀刻或根本不蚀刻。因为蚀刻工艺蚀刻<100>和<110>面但不蚀刻<111>面,所以如图2E所示刻面或湿法蚀刻形成具有由<111>面限定的侧壁232的硅结构。各向异性湿法蚀刻从侧壁218去除表面粗糙度222(图2D)并生成如图2E所示的光学意义上平滑的侧壁232。此外,在将结构214暴露于刻面蚀刻足够长的时间后,侧壁218由<111>面限定并生成具有V形或内锥形侧壁232的结构230。侧壁232以62.5度的角度α从结构230的顶面219向内形成角度。在本发明的一个实施例中,结构230的顶面219在横向相对侧壁232之间的宽度(W1)介于20-30nm,而底面在横向相对侧壁之间的宽度(W2)为10-15nm。 
在本发明的一个实施例中,湿法蚀刻或“刻面”蚀刻是基于氢氧化物的蚀刻,其具有足够低的氢氧化物浓度和亲核性(即,化学强度),使得不存在完全完整的<111>面的蚀刻。在本发明的一个实施例中,将结构214暴露于包含小于1%体积的氢氧化氨(NH4OH)的刻面或湿法蚀刻剂中。在本发明的一个实施例中,将结构214在5-25℃温度范围内暴露于包括0.2-1%体积的NH4OH湿法蚀刻剂中。在本发明的一个实施例中,在刻面蚀刻期间将介于600-800千赫频率范围、消散介于0.5-3瓦/cm2之间的声能施加到蚀刻溶液中。在本发明的一个实施例中,将覆盖硬掩模的硅结构暴露于刻面蚀刻15秒至5分钟。 
在本发明的一个实施例中,刻面或湿法蚀刻可包含氢氧化四烷基胺(例如,介于5-20℃温度之间的氢氧化四乙铵和氢氧化四甲铵)的超稀(<0.1%体积)水溶液。 
所制造的硅结构230可用于制造诸如晶体管和电容器之类的半导体器件以及微机电系统(MEMS)和光电器件。在本发明的一个实施例中。半导体结构230用作 用于非平面或三维晶体管的半导体本体或凸凌,诸如但不限于三栅晶体管、双栅晶体管、FINFET、Ω-FET或π-FET。 
在本发明的一个实施例中,硅结构230提供用于图2F所示的三栅晶体管240的硅体或凸凌。为了制造如图2F所示的三栅晶体管240,从硅结构230去除硬掩模212。在本发明的一个实施例中,当硬掩模212是氮化硅或氧氮化硅膜时,包含磷酸去离子水液的湿法蚀刻剂可用于去除硬掩模。在本发明的一个实施例中,硬掩模蚀刻剂包括加热到150-170℃、理想地是160℃的80-90体积%之间的磷酸水溶液。在本发明的一个实施例中,在去除硬掩模212后,可利用标准SC1和SC2清洗来清洗衬底。必须是在用磷酸去除硬掩模后清洗衬底,因为磷酸一般包括很多可影响器件性能或可靠性的金属杂质。应意识到如果期望形成FINFET或双栅器件,则硬掩模212应留在硅结构230上以隔离半导体结构230的顶部表面,以免由随后形成的栅电极控制。 
接着,在侧壁232上以及半导体本体230的顶部表面上形成栅介电层250。栅介电层250可以是任何公知且适当的栅介电层,诸如但不限于二氧化硅或氮化硅栅介电层。另外,栅介电层250可以是高k栅介电层,诸如但不限于氧化铪、氧化锆、氧化钛和氧化钽。诸如但不限于化学气相沉积和原子层沉积之类的任何公知的技术可用于形成栅介电层250。 
接着,如图2F所示,在半导体结构230的顶部表面和侧壁上的栅介电层250上形成栅电极260。垂直于侧壁232形成栅电极260。栅电极可由任何公知的栅电极材料形成,诸如但不限于掺杂的多晶硅以及诸如但不限于钨、钽、钛及其氮化物等金属膜。另外,应意识到栅电极不一定是单一品种的材料,可以是薄膜的复合层叠,诸如但不限于形成于栅介电层上的顶部有多晶硅膜的下层金属膜。栅介电层和栅电极可通过均厚沉积法或在半导体本体上生长栅介电层然后在栅介电层上均厚沉积栅电极材料来形成。然后可利用公知的光刻和蚀刻技术来图案化栅介电层和栅电极材料以形成栅电极260和栅介电层250,如图2F所示。或者,栅介电层和栅电极可利用公知的替换栅工艺来形成。如图2F所示,在栅电极260的相对侧面上的硅体230中形成源区272和漏区274。诸如固体源扩散或离子注入之类的任何公知的适当技术可用于形成源区和漏区。在本发明的一个实施例中,所形成的源区272和漏区274的浓度是1×1019-1×1021原子/立方厘米。 
所制造的非平面晶体管240包括如图2F所示由栅介电层250和栅电极260围绕的半导体本体230。位于栅电介质和栅电极下的半导体230的部分是器件的沟道 区。在本发明的一个实施例中,将源区和漏区掺杂成第一导电类型(n型或p型)而将沟道区掺杂成第二相对的导电类型(p型或n型)或不掺杂。当导电沟道通过栅电极260在硅体230的沟道区中形成时,电荷(即,空穴和电子)在源区和漏区之间沿硅体230的<110>面流动。即,在晶体管240中,电荷迁移沿结构240中的<110>晶面进行。研究发现,沿<110>方向的电荷迁移提供良好的空穴迁移率。因此,在本发明的一个实施例中,器件240是p型器件,其中源区和漏区形成为p型导电性并且载流子是空穴。另外,通过使硅体230的侧壁向内减小,栅电极260对体230的沟道区具有良好的控制,实现晶体管240的快速“导通”和“截止”。 
图3A-3D示出根据本发明的另一个实施例形成单晶硅体或结构的方法。如图3A所示,在具有(100)全局晶体取向的单晶硅膜306上形成硬掩模312。可如上所述地形成硬掩模312。然而,在图3A中,硬掩模312在硅膜306上的定向形成了与<100>面对齐的一对侧壁和同样与<100>面对齐的第二对侧壁。(应意识到硬掩模312的方向在 
Figure S2006800218170D00081
面上从图2A所示的硬掩模212的方向旋转了约45度。) 
接着,如图3B所示,与硬掩模312对齐地蚀刻(100)全局晶体取向的硅膜306以形成具有与<100>面对齐的一对横向相对侧壁318以及与第一对垂直并同样与<100>面对齐的第二对侧壁320的硅结构314。可如上所述地蚀刻硅膜306。 
接着,在硬掩模312存在于硅结构314的顶面319上时,将硅结构314暴露于刻面湿法蚀刻剂中。刻面湿法蚀刻剂具有足以蚀刻低密度的<110>和<100>面的化学强度但不具有足以蚀刻高密度的<111>面的强度。因为硅结构314的顶部表面319上的低密度<100>面由硬掩模312覆盖并且因为蚀刻剂不具有足以蚀刻高密度的<111>面的化学强度,所以硅结构314转变成如图3C所示的具有由相交的<111>面形成的“V”切口形状的一对侧壁332的硅结构330。如前所述,刻面蚀刻是自我限制性的,并在第一邻接的<111>面处停止。侧壁332的<111>面以约55度的角β相交。晶体取向、原子屏蔽以及良好控制的各向异性湿法蚀刻剂的组合实现了具有“V”切口侧壁332的硅结构330的形成。 
如上所述,硅结构330可用于形成硅非平面或三维器件以及微机器和MEMS器件。在本发明的一个实施例中,硅结构330用于形成诸如如图3D所示的三栅晶体管330之类的非平面晶体管。如图3D所示,垂直于侧壁332形成栅电极360。如图3D所示,非平面器件具有形成于硅体330的一部分年上或周围的栅介电层350和栅电极360。源区372和漏区374在栅电极的相对侧上的硅体330中形成。晶体管340中从源区至漏区的电荷迁移平行于或沿<100>面。因为电荷迁移沿<100>面 进行,所以硅结构330提供良好的电子迁移率,因此可完美地用于载流子是电子且源区372和漏区374是n型导电性的n型场效应晶体管(NFET)的制造。 
图4A-4D示出根据本发明的另一个实施例形成半导体本体或结构的方法。如图4A所示,提供了诸如绝缘体上的硅(SOI)衬底之类的包括下部的单晶硅衬底402、掩埋氧化物层404和单晶硅膜406的衬底400。尽管理想的是采用绝缘体上的硅衬底400,但如上所述可使用其它公知的半导体衬底。在本发明的一个实施例中,如图4A所示单晶硅膜406具有(110)全局晶体取向。具有(110)全局晶体取向的单晶硅膜具有与膜表面在同一个平面上或平行于膜的表面的硅晶格的<110>面。即,如图4A所示,具有(110)全局晶体取向的单晶硅膜是 
Figure S2006800218170D00091
面中的<110>面,具有沿z方向的法线轴。另外,具有(110)全局晶体取向的单晶硅膜具有垂直于<110>面并互相垂直的<111>面和<110>面。即,如图4A所示,在具有(110)全局晶体取向的单晶硅膜406中存在着处于 
Figure S2006800218170D00092
面中并具有沿y方向的法线轴的<111>面,也存在着处于 
Figure S2006800218170D00093
面中并具有沿x方向的法线轴的<110>面。接着,如图4A所示,如上所述地在具有(110)晶体取向的单晶硅膜406上形成硬掩模412。硬掩模412在硅膜406上定向以形成与<110>面对齐的一对侧壁和与<111>面对齐的第二对垂直侧壁。硬掩模412可由上述的材料和方法形成。 
接着,如图4B所示,与硬掩模412对齐地蚀刻(100)硅膜以形成具有与<110>面对齐或平行于<110>面的一对横向相对侧壁418以及与第一对418垂直并与<111>面对齐或平行于<111>面的第二对侧壁420的硅结构414。然后将覆盖硬掩模412的硅结构414暴露于刻面湿法蚀刻剂。刻面湿法蚀刻剂具有足以蚀刻密度较低的<110>面的化学强度但不具有足以蚀刻高密度的<111>面的化学强度。因为的顶部表面419上的低密度的<100>面由硬掩模412覆盖并且因为蚀刻剂不具有足以蚀刻高密度的<111>面的化学强度,所以结构414转变成如图4C所示的具有由<111>面限定的一对横向相对侧壁432的结构430。在将结构414暴露于刻面蚀刻剂足够长的时间后,侧壁432由<111>面限定并生成具有V形或内锥形侧壁的结构。侧壁432以约62.5度的角度γ从结构430的顶面419向内形成角度。在本发明的一个实施例中,顶面419在横向相对侧壁432之间的宽度(W1)介于20-30nm,而底面在横向相对侧壁440之间的宽度(W2)为10-15nm。晶体取向、硬掩模屏蔽以及具有适当的化学强度的湿法蚀刻剂的组合实现了内锥形侧壁432的硅结构430的形成。 
如上所述,硅结构430可用于形成诸如硅非平面或三维器件之类的各种公知的半导体器件以及光电子器件和MEMS器件。在本发明的一个实施例中,如图4D 所示,硅结构430被用于形成诸如三栅晶体管440之类的非平面晶体管。如图4D所示,三栅晶体管440具有形成于硅体430的一部分之上及周围的栅介电层450和栅电极460。如图4D所示,栅电极460在垂直于侧壁432的方向上延伸。栅介电层450和栅电极460可由如上所述的任何适当的材料和适当的已知方法来形成。如图4D所示,源区472和漏区474在栅电极460的相对侧上的硅体430中形成。硅体430中从源区472至漏区474的电荷迁移平行于或沿<110>面进行。硅体430的内锥形侧壁432提供了对器件的沟道区的良好栅控制460,这实现了器件440的快速“导通”和“截止”。 
尽管迄今为止对本发明的描述是参考利用晶向、硬掩模屏蔽以及良好控制的湿法蚀刻剂的组合的单结晶硅结构的成形或“刻面”了本发明,但本发明的概念同样适用于其它类型的单晶半导体膜,诸如但不限于锗(Ge)、硅锗合金(SixGey)、砷化镓(GaAs)、锑化铟(InSb)、磷化镓(GaP)、以及锑化镓(GaSb)。例如,单晶锑化铟(InSb)结构可在5-15℃范围的温度下利用包含0.05-0.1mol/L柠檬酸的水溶液的湿法蚀刻剂进行刻面处理。类似地,单晶砷化镓(GaAs)结构可通过将覆盖硬掩模的砷化镓结构在5-15℃范围的温度下暴露于小于0.05mol/L的柠檬酸水溶液进行刻面处理。 
另外,在本发明的一个实施例中,由p型晶体管和n型晶体管520形成集成电路,使这些晶体管定向或成形以优化每一种类型的晶体管的性能。例如,如图5所示,在本发明的一个实施例中,如参考图2A-2F所述图案化具有(110)全局晶体取向的单晶硅膜,以形成用于p型非平面晶体管510的硅体512,其中电荷(空穴)迁移平行于<110>面,并且还如参考图3A-3D所述图案化具有(110)全局晶体取向的单晶硅膜,以形成用于n型非平面晶体管520的硅体522,其中电荷(电子)迁移平行于<100>面。因此,p型非平面晶体管和n型非平面晶体管在衬底上以彼此不平行(例如,偏离45度)的方式定向,以优化p型晶体管的空穴迁移率和n型非平面晶体管的电子迁移率。在本发明的其它实施例中,p型器件和n型器件的半导体本体相对于彼此的定向使得刻面蚀刻剂可以使体的形状成为优化每一种器件类型的性能的结构。由此,可大大提高包括n型非平面晶体管和p型非平面晶体管的集成电路的性能。 

Claims (5)

1.一种集成电路,包括:
具有第一半导体本体的第一非平面晶体管,其中所述第一半导体本体中的电荷迁移沿第一方向;以及
具有第二半导体本体的第二非平面晶体管,其中所述第二半导体本体中的电荷迁移沿第二方向,其中所述第二方向不与所述第一方向平行,
其中,所述第一半导体本体和所述第二半导体本体由单晶硅膜形成,并且
所述单晶硅膜是通过一种包括如下步骤的方法进行图案化的:
在单晶硅膜上形成硬掩模;
与所述硬掩模对齐地蚀刻所述单晶硅膜以形成具有顶部表面和一对横向相对侧壁的单晶硅结构,所述单晶硅结构被所述硬掩模覆盖;以及
将所述硬掩模覆盖的所述单晶硅结构暴露于包括水和小于1%体积的NH4OH的湿法化学蚀刻剂中以蚀刻掉所述单晶硅结构的一部分,其中所述湿法化学蚀刻剂是自限制性的,使得它在所述单晶硅结构的第一完全完整的<111>晶面上停止。
2.如权利要求1所述的集成电路,其特征在于,所述第一方向相对于所述第二方向成45度。
3.如权利要求1所述的集成电路,其特征在于,所述第一非平面晶体管是n型场效应晶体管,所述第一方向平行于所述第一半导体本体的所述单晶硅膜的<100>面。
4.如权利要求1所述的集成电路,其特征在于,所述第二非平面晶体管是p型场效应晶体管,所述第二方向平行于所述第二半导体本体的所述单晶硅膜的<110>面。
5.如权利要求1所述的集成电路,其特征在于,所述第二非平面晶体管是p型场效应晶体管,所述第二方向平行于所述第二半导体本体的所述单晶硅膜的<110>面,所述第一非平面晶体管是n型场效应晶体管,所述第一方向平行于所述第一半导体本体的所述单晶硅膜的<100>面。
CN2006800218170A 2005-06-21 2006-06-20 一种集成电路 Expired - Fee Related CN101199042B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/158,661 US7547637B2 (en) 2005-06-21 2005-06-21 Methods for patterning a semiconductor film
US11/158,661 2005-06-21
PCT/US2006/024516 WO2007002426A2 (en) 2005-06-21 2006-06-20 Semiconductor device structures and methods of forming semiconductor structures

Publications (2)

Publication Number Publication Date
CN101199042A CN101199042A (zh) 2008-06-11
CN101199042B true CN101199042B (zh) 2011-05-25

Family

ID=37036803

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800218170A Expired - Fee Related CN101199042B (zh) 2005-06-21 2006-06-20 一种集成电路

Country Status (5)

Country Link
US (6) US7547637B2 (zh)
CN (1) CN101199042B (zh)
DE (1) DE112006001589B4 (zh)
TW (1) TWI319210B (zh)
WO (1) WO2007002426A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015051560A1 (zh) * 2013-10-13 2015-04-16 中国科学院微电子研究所 一种finfet制造方法

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7666741B2 (en) * 2006-01-17 2010-02-23 International Business Machines Corporation Corner clipping for field effect devices
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP4635897B2 (ja) 2006-02-15 2011-02-23 株式会社東芝 半導体装置及びその製造方法
US8518767B2 (en) * 2007-02-28 2013-08-27 International Business Machines Corporation FinFET with reduced gate to fin overlay sensitivity
US7452758B2 (en) * 2007-03-14 2008-11-18 International Business Machines Corporation Process for making FinFET device with body contact and buried oxide junction isolation
JP2008300384A (ja) * 2007-05-29 2008-12-11 Elpida Memory Inc 半導体装置及びその製造方法
US7700427B2 (en) * 2007-06-13 2010-04-20 Qimonda Ag Integrated circuit having a Fin structure
US7625790B2 (en) * 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
JP2009054946A (ja) * 2007-08-29 2009-03-12 Seiko Instruments Inc 半導体装置とその製造方法
JP4966153B2 (ja) * 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
US20090283829A1 (en) * 2008-05-13 2009-11-19 International Business Machines Corporation Finfet with a v-shaped channel
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
FR2935539B1 (fr) * 2008-08-26 2010-12-10 Commissariat Energie Atomique Circuit cmos tridimensionnel sur deux substrats desalignes et procede de realisation
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8072027B2 (en) * 2009-06-08 2011-12-06 Fairchild Semiconductor Corporation 3D channel architecture for semiconductor devices
KR101354844B1 (ko) * 2009-07-08 2014-01-22 가부시끼가이샤 도시바 반도체 장치 및 그의 제조 방법
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8759943B2 (en) * 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8084822B2 (en) * 2009-09-30 2011-12-27 International Business Machines Corporation Enhanced stress-retention fin-FET devices and methods of fabricating enhanced stress retention fin-FET devices
US8076735B2 (en) * 2009-10-02 2011-12-13 United Microelectronics Corp. Semiconductor device with trench of various widths
US20110084214A1 (en) * 2009-10-08 2011-04-14 Tel Epion Inc. Gas cluster ion beam processing method for preparing an isolation layer in non-planar gate structures
US8237136B2 (en) * 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
CN102104058B (zh) * 2009-12-16 2012-12-12 中国科学院微电子研究所 半导体材料鳍片
US8936976B2 (en) * 2009-12-23 2015-01-20 Intel Corporation Conductivity improvements for III-V semiconductor devices
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
CN102214676A (zh) * 2010-04-09 2011-10-12 中国科学院微电子研究所 包含鳍片的半导体结构及其制造方法
CN102347350A (zh) * 2010-07-30 2012-02-08 中国科学院微电子研究所 一种半导体结构及其制造方法
US8669155B2 (en) * 2010-09-03 2014-03-11 Institute of Microelectronics, Chinese Academy of Sciences Hybrid channel semiconductor device and method for forming the same
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US20120146101A1 (en) * 2010-12-13 2012-06-14 Chun-Hsien Lin Multi-gate transistor devices and manufacturing method thereof
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US20120199888A1 (en) * 2011-02-09 2012-08-09 United Microelectronics Corporation Fin field-effect transistor structure
US8349692B2 (en) * 2011-03-08 2013-01-08 Globalfoundries Singapore Pte. Ltd. Channel surface technique for fabrication of FinFET devices
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
JP6019599B2 (ja) 2011-03-31 2016-11-02 ソニー株式会社 半導体装置、および、その製造方法
US9064808B2 (en) 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) * 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
TWI512838B (zh) * 2011-09-23 2015-12-11 United Microelectronics Corp 半導體製程
US20130134546A1 (en) * 2011-11-30 2013-05-30 International Business Machines Corporation High density multi-electrode array
CN104137265B (zh) 2011-12-22 2017-11-17 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
US20130175618A1 (en) 2012-01-05 2013-07-11 International Business Machines Corporation Finfet device
US9323010B2 (en) * 2012-01-10 2016-04-26 Invensas Corporation Structures formed using monocrystalline silicon and/or other materials for optical and other applications
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9105654B2 (en) 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
CN103377922B (zh) * 2012-04-23 2015-12-16 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应晶体管及其形成方法
KR101909204B1 (ko) 2012-06-25 2018-10-17 삼성전자 주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US8946033B2 (en) 2012-07-30 2015-02-03 International Business Machines Corporation Merged fin finFET with (100) sidewall surfaces and method of making same
CN103594362B (zh) * 2012-08-13 2017-02-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN103681330B (zh) * 2012-09-10 2016-03-16 中芯国际集成电路制造(上海)有限公司 鳍部及其形成方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
CN103794498B (zh) * 2012-10-29 2016-12-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
US8969145B2 (en) * 2013-01-19 2015-03-03 International Business Machines Corporation Wire-last integration method and structure for III-V nanowire devices
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US20140306286A1 (en) * 2013-04-10 2014-10-16 International Business Machines Corporation Tapered fin field effect transistor
US9153668B2 (en) * 2013-05-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning tensile strain on FinFET
US9299784B2 (en) * 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
US20150187915A1 (en) * 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor
US9691763B2 (en) * 2013-12-27 2017-06-27 International Business Machines Corporation Multi-gate FinFET semiconductor device with flexible design width
US20150187909A1 (en) * 2013-12-30 2015-07-02 Global Foundries, Inc. Methods for fabricating multiple-gate integrated circuits
CN104779283A (zh) * 2014-01-09 2015-07-15 中芯国际集成电路制造(上海)有限公司 增强栅控和电流驱动的finfet器件及制备方法
CN104779285B (zh) * 2014-01-09 2018-07-10 中芯国际集成电路制造(上海)有限公司 Finfet半导体器件及其制备方法
US9496398B2 (en) * 2014-01-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain regions in FinFETs and methods for forming the same
JP5779739B1 (ja) * 2014-02-18 2015-09-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法、及び、半導体装置
WO2015167445A2 (en) * 2014-04-29 2015-11-05 Hewlett-Packard Development Company, L.P. Antennas with bridged ground planes
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
US9263586B2 (en) 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
CN105428238B (zh) * 2014-09-17 2019-01-22 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制作方法和电子装置
US9985112B2 (en) 2015-02-06 2018-05-29 International Business Machines Corporation Sloped finFET with methods of forming same
US9437445B1 (en) 2015-02-24 2016-09-06 International Business Machines Corporation Dual fin integration for electron and hole mobility enhancement
US10224458B2 (en) * 2015-03-06 2019-03-05 Stanley Electric Co., Ltd. Group III nitride laminate, luminescence element comprising said laminate, and method of producing group III nitride laminate
DE102015106689A1 (de) * 2015-04-29 2016-11-03 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleitervorrichtung mit geneigten Ionenimplantationsprozessen, Halbleitervorrichtung und integrierte Schaltung
US9425259B1 (en) 2015-07-17 2016-08-23 Samsung Electronics Co., Ltd. Semiconductor device having a fin
KR102319661B1 (ko) * 2015-08-07 2021-11-03 삼성전자주식회사 전자 장치 및 전자 장치의 보안 정보 저장 방법
US10734488B2 (en) 2015-09-11 2020-08-04 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9985031B2 (en) 2016-01-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and manufacturing method thereof
US11276755B2 (en) 2016-06-17 2022-03-15 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
JP6547702B2 (ja) * 2016-07-26 2019-07-24 信越半導体株式会社 半導体装置の製造方法及び半導体装置の評価方法
CN106298936A (zh) * 2016-08-16 2017-01-04 北京大学 一种倒梯形顶栅结构鳍式场效应晶体管及其制备方法
US10529862B2 (en) * 2016-11-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming semiconductor fin thereof
IL301302A (en) * 2017-03-08 2023-05-01 Hope Medical Entpr Inc Dba Hope Pharmaceuticals Intradialytic use of sodium nitrite
CN109390397B (zh) 2017-08-03 2023-03-10 联华电子股份有限公司 半导体元件及其制作方法
US10641957B2 (en) 2017-08-29 2020-05-05 Juniper Networks, Inc. Smooth waveguide structures and manufacturing methods
US10825931B2 (en) * 2018-02-13 2020-11-03 Nanya Technology Corporation Semiconductor device with undercutted-gate and method of fabricating the same
DE112018006806T5 (de) * 2018-03-22 2020-09-24 Intel Corporation Halbleiter-nanodrahtvorrichtung mit (111)- ebenenkanalseitenwänden
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
US11171211B1 (en) 2020-05-11 2021-11-09 Samsung Electronics Co., Ltd. Group IV and III-V p-type MOSFET with high hole mobility and method of manufacturing the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1500291A (zh) * 2001-12-13 2004-05-26 ���������ƴ���ʽ���� 互补型mis器件
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic

Family Cites Families (480)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
JPS59145538A (ja) * 1983-10-21 1984-08-21 Hitachi Ltd 半導体装置の製造方法
GB2156149A (en) * 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4872046A (en) 1986-01-24 1989-10-03 University Of Illinois Heterojunction semiconductor device with <001> tilt
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
FR2605647B1 (fr) 1986-10-27 1993-01-29 Nissim Yves Procede de depot en phase vapeur par flash thermique d'une couche isolante sur un substrat en materiau iii-v, application a la fabrication d'une structure mis
US4751201A (en) 1987-03-04 1988-06-14 Bell Communications Research, Inc. Passivation of gallium arsenide devices with sodium sulfide
US4818715A (en) * 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR910010043B1 (ko) 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
US4871692A (en) 1988-09-30 1989-10-03 Lee Hong H Passivation of group III-V surfaces
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
JP2553702B2 (ja) * 1989-05-18 1996-11-13 日産自動車株式会社 半導体装置およびその製造方法
NL8902292A (nl) 1989-09-14 1991-04-02 Philips Nv Werkwijze voor het vervaardigen van een een mesa bevattende halfgeleiderinrichting.
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5278102A (en) 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
DE69213539T2 (de) 1991-04-26 1997-02-20 Canon Kk Halbleitervorrichtung mit verbessertem isoliertem Gate-Transistor
JPH05152293A (ja) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) * 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) * 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
KR960002088B1 (ko) * 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
US6730549B1 (en) 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
US5398641A (en) 1993-07-27 1995-03-21 Texas Instruments Incorporated Method for p-type doping of semiconductor structures formed of group II and group VI elements
JPH0750410A (ja) 1993-08-06 1995-02-21 Hitachi Ltd 半導体結晶積層体及びその形成方法並びに半導体装置
JP3460863B2 (ja) 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5554870A (en) 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US5883564A (en) 1994-04-18 1999-03-16 General Motors Corporation Magnetic field sensor having high mobility thin indium antimonide active layer on thin aluminum indium antimonide buffer layer
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3317582B2 (ja) 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
US5814544A (en) 1994-07-14 1998-09-29 Vlsi Technology, Inc. Forming a MOS transistor with a recessed channel
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
US5728594A (en) 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
KR0143713B1 (ko) 1994-12-26 1998-07-01 김주용 트랜지스터 및 그 제조 방법
JPH08204191A (ja) * 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5814545A (en) 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) * 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW548686B (en) * 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH10150185A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
FR2757312B1 (fr) 1996-12-16 1999-01-08 Commissariat Energie Atomique Transistor mis a grille metallique auto-alignee et son procede de fabrication
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
JP3382840B2 (ja) 1997-05-23 2003-03-04 シャープ株式会社 半導体装置の製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JPH1140811A (ja) 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US5981400A (en) 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) * 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6031248A (en) * 1998-04-28 2000-02-29 Xerox Corporation Hybrid sensor pixel architecture
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US20030014379A1 (en) * 1999-07-01 2003-01-16 Isaac Saias Adaptive and reliable system and method for operations management
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6317444B1 (en) 1998-06-12 2001-11-13 Agere System Optoelectronics Guardian Corp. Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6117697A (en) 1998-07-27 2000-09-12 The United States Of America As Represented By The Secretary Of The Air Force Solid state magnetic field sensor method
JP2000037842A (ja) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd 電磁波吸収化粧材
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6093947A (en) 1998-08-19 2000-07-25 International Business Machines Corporation Recessed-gate MOSFET with out-diffused source/drain extension
JP2000156502A (ja) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6406795B1 (en) 1998-11-25 2002-06-18 Applied Optoelectronics, Inc. Compliant universal substrates for optoelectronic and electronic devices
US6200865B1 (en) 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
US6252262B1 (en) 1998-12-15 2001-06-26 The United States Of America As Represented By The Secretary Of The Navy Metal passivating layer for III-V semiconductors, and improved gate contact for III-V-based metal-insulator-semiconductor (MIS) devices
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
DE60001601T2 (de) * 1999-06-18 2003-12-18 Lucent Technologies Inc Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
US6133593A (en) 1999-07-23 2000-10-17 The United States Of America As Represented By The Secretary Of The Navy Channel design to reduce impact ionization in heterostructure field-effect transistors
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6124177A (en) 1999-08-13 2000-09-26 Taiwan Semiconductor Manufacturing Company Method for making deep sub-micron mosfet structures having improved electrical characteristics
US6320212B1 (en) 1999-09-02 2001-11-20 Hrl Laboratories, Llc. Superlattice fabrication for InAs/GaSb/AISb semiconductor structures
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) * 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (ja) * 2000-02-23 2006-11-15 信越半導体株式会社 ウエーハ外周面取部の研磨方法及び研磨装置
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
GB2362506A (en) 2000-05-19 2001-11-21 Secr Defence Field effect transistor with an InSb quantum well and minority carrier extraction
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
JP4112358B2 (ja) 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 電界効果トランジスタ
US6992319B2 (en) 2000-07-18 2006-01-31 Epitaxial Technologies Ultra-linear multi-channel field effect transistor
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002047034A (ja) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) * 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002298051A (ja) 2001-03-30 2002-10-11 Mizuho Bank Ltd ポイント交換サービス・システム
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6764965B2 (en) 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US6906350B2 (en) 2001-10-24 2005-06-14 Cree, Inc. Delta doped silicon carbide metal-semiconductor field effect transistors having a gate disposed in a double recess structure
EP1306890A2 (en) 2001-10-25 2003-05-02 Matsushita Electric Industrial Co., Ltd. Semiconductor substrate and device comprising SiC and method for fabricating the same
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) * 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
JP3634320B2 (ja) 2002-03-29 2005-03-30 株式会社東芝 半導体装置及び半導体装置の製造方法
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
FR2838238B1 (fr) * 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6693321B1 (en) * 2002-05-15 2004-02-17 Advanced Micro Devices, Inc. Replacing layers of an intergate dielectric layer with high-K material for improved scalability
AU2003242444B2 (en) * 2002-05-23 2008-02-07 Eiji Yoshida Plug replacing device and plug replacing method
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US6705571B2 (en) * 2002-07-22 2004-03-16 Northrop Grumman Corporation System and method for loading stores on an aircraft
KR100477543B1 (ko) 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (en) 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
JP2004071996A (ja) 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US6956281B2 (en) 2002-08-21 2005-10-18 Freescale Semiconductor, Inc. Semiconductor device for reducing photovolatic current
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6812527B2 (en) 2002-09-05 2004-11-02 International Business Machines Corporation Method to control device threshold of SOI MOSFET's
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) * 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US7214991B2 (en) 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
KR100487922B1 (ko) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6867425B2 (en) 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
US6869868B2 (en) 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
JP4418760B2 (ja) 2002-12-20 2010-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーション フィン型fetおよびcmosデバイスのための一体化アンチヒューズ構造
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US6949433B1 (en) 2003-02-07 2005-09-27 Fasl Llc Method of formation of semiconductor resistant to hot carrier injection stress
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (ja) * 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
US6888179B2 (en) 2003-04-17 2005-05-03 Bae Systems Information And Electronic Systems Integration Inc GaAs substrate with Sb buffering for high in devices
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20070108514A1 (en) 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US20040219711A1 (en) 2003-04-30 2004-11-04 Bi-Chu Wu Method for manufacturing a polymer chip and an integrated mold for the same
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
EP1643560A4 (en) 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6919647B2 (en) 2003-07-03 2005-07-19 American Semiconductor, Inc. SRAM cell
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7013447B2 (en) 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7301206B2 (en) 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
WO2005022637A1 (ja) 2003-08-28 2005-03-10 Nec Corporation フィン型電界効果トランジスタを有する半導体装置
US7041601B1 (en) 2003-09-03 2006-05-09 Advanced Micro Devices, Inc. Method of manufacturing metal gate MOSFET with strained channel
US6998301B1 (en) 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
US6949443B2 (en) 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Company High performance semiconductor devices fabricated with strain-induced processes and methods for making same
US20050139860A1 (en) 2003-10-22 2005-06-30 Snyder John P. Dynamic schottky barrier MOSFET device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
JP2005183770A (ja) 2003-12-22 2005-07-07 Mitsubishi Electric Corp 高周波用半導体装置
US7569882B2 (en) 2003-12-23 2009-08-04 Interuniversitair Microelektronica Centrum (Imec) Non-volatile multibit memory cell and method of manufacturing thereof
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
US7247578B2 (en) * 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7705345B2 (en) 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7701018B2 (en) 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US6864540B1 (en) 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100532564B1 (ko) 2004-05-25 2005-12-01 한국전자통신연구원 다중 게이트 모스 트랜지스터 및 그 제조 방법
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
WO2005122276A1 (ja) 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7413957B2 (en) 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
KR100541657B1 (ko) 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US7091069B2 (en) 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7238601B2 (en) 2004-09-10 2007-07-03 Freescale Semiconductor, Inc. Semiconductor device having conductive spacers in sidewall regions and method for forming
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
DE102005002397A1 (de) * 2004-10-18 2006-04-27 Werth Messtechnik Gmbh Verfahren zur Abstandsbestimmung
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7479684B2 (en) 2004-11-02 2009-01-20 International Business Machines Corporation Field effect transistor including damascene gate with an internal spacer structure
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060148182A1 (en) 2005-01-03 2006-07-06 Suman Datta Quantum well transistor using high dielectric constant dielectric layer
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7071047B1 (en) 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7470951B2 (en) 2005-01-31 2008-12-30 Freescale Semiconductor, Inc. Hybrid-FET and its application as SRAM
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20060180859A1 (en) 2005-02-16 2006-08-17 Marko Radosavljevic Metal gate carbon nanotube transistor
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
JP4825526B2 (ja) 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
JP4718908B2 (ja) 2005-06-14 2011-07-06 株式会社東芝 半導体装置および半導体装置の製造方法
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7569443B2 (en) 2005-06-21 2009-08-04 Intel Corporation Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070069302A1 (en) 2005-09-28 2007-03-29 Been-Yih Jin Method of fabricating CMOS devices having a single work function gate electrode by band gap engineering and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7341902B2 (en) 2006-04-21 2008-03-11 International Business Machines Corporation Finfet/trigate stress-memorization method
US7638843B2 (en) 2006-05-05 2009-12-29 Texas Instruments Incorporated Integrating high performance and low power multi-gate devices
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US20080017890A1 (en) 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7573059B2 (en) 2006-08-02 2009-08-11 Intel Corporation Dislocation-free InSb quantum well structure on Si using novel buffer architecture
US7439120B2 (en) 2006-08-11 2008-10-21 Advanced Micro Devices, Inc. Method for fabricating stress enhanced MOS circuits
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7646046B2 (en) 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
CA2669704A1 (en) 2006-11-16 2008-05-22 Allergan, Inc. Sulfoximines as kinase inhibitors
US7678632B2 (en) 2006-11-17 2010-03-16 Infineon Technologies Ag MuGFET with increased thermal mass
US7655989B2 (en) 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US20080128797A1 (en) 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080212392A1 (en) 2007-03-02 2008-09-04 Infineon Technologies Multiple port mugfet sram
JP4406439B2 (ja) 2007-03-29 2010-01-27 株式会社東芝 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1500291A (zh) * 2001-12-13 2004-05-26 ���������ƴ���ʽ���� 互补型mis器件
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Leland Chang,et al..CMOS Circuit Performance Enhancement bySurfaceOrientation Optimization.IEEE TRANSACTIONS ON ELECTRON DEVICES51 10.2004,51(10),1621-1627.
Leland Chang,et al..CMOS Circuit Performance Enhancement bySurfaceOrientation Optimization.IEEE TRANSACTIONS ON ELECTRON DEVICES51 10.2004,51(10),1621-1627. *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015051560A1 (zh) * 2013-10-13 2015-04-16 中国科学院微电子研究所 一种finfet制造方法
US9577074B2 (en) 2013-10-13 2017-02-21 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing finFET

Also Published As

Publication number Publication date
WO2007002426A2 (en) 2007-01-04
DE112006001589B4 (de) 2012-10-25
US20090218603A1 (en) 2009-09-03
US8933458B2 (en) 2015-01-13
CN101199042A (zh) 2008-06-11
US9761724B2 (en) 2017-09-12
US20070001173A1 (en) 2007-01-04
TWI319210B (en) 2010-01-01
US9385180B2 (en) 2016-07-05
US20160293765A1 (en) 2016-10-06
US7547637B2 (en) 2009-06-16
US8071983B2 (en) 2011-12-06
US20150102429A1 (en) 2015-04-16
DE112006001589T5 (de) 2008-04-30
US8581258B2 (en) 2013-11-12
US20120032237A1 (en) 2012-02-09
US20140035009A1 (en) 2014-02-06
TW200721305A (en) 2007-06-01
WO2007002426A3 (en) 2007-03-15

Similar Documents

Publication Publication Date Title
CN101199042B (zh) 一种集成电路
CN100524653C (zh) 各向异性湿蚀刻的器件制造方法及对应器件
US9087870B2 (en) Integrated circuits including FINFET devices with shallow trench isolation that includes a thermal oxide layer and methods for making the same
US7456450B2 (en) CMOS devices with hybrid channel orientations and method for fabricating the same
US6787423B1 (en) Strained-silicon semiconductor device
KR101591564B1 (ko) 반도체 소자 및 그 제조 방법
US9385123B2 (en) STI region for small fin pitch in FinFET devices
WO2011066728A1 (zh) 混合材料积累型全包围栅cmos场效应晶体管
JP2008131032A (ja) 立体的形状の活性領域を含むcmos構造体
US7808082B2 (en) Structure and method for dual surface orientations for CMOS transistors
US9543302B2 (en) Forming IV fins and III-V fins on insulator
KR20160111314A (ko) Ⅲ-ⅴ족 반도체 재료의 핀 피쳐에서 관통 전위 결함을 제거하는 방법
US11776963B2 (en) Semiconductor structure and method of manufacturing the same
CN111613583A (zh) 半导体器件及其形成方法
KR102463339B1 (ko) N7/n5 finfet 및 그 이상을 위한 공극 스페이서를 제조하는 방법
CN100449785C (zh) 半导体装置及半导体装置的制造方法
CN105575878A (zh) 一种浅沟槽隔离结构及其制作方法
CN116631874A (zh) 一种半导体器件及其制备方法
CN103915343B (zh) 晶体管及其形成方法
CN104078355B (zh) 鳍式场效应晶体管的形成方法
CN111799173A (zh) 半导体元件的制造方法以及等离子体处理装置
CN104078355A (zh) 鳍式场效应晶体管的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110525

Termination date: 20170620

CF01 Termination of patent right due to non-payment of annual fee