CN101187012A - 催化剂辅助的高k材料的硅酸盐的沉积方法 - Google Patents

催化剂辅助的高k材料的硅酸盐的沉积方法 Download PDF

Info

Publication number
CN101187012A
CN101187012A CNA2007101871014A CN200710187101A CN101187012A CN 101187012 A CN101187012 A CN 101187012A CN A2007101871014 A CNA2007101871014 A CN A2007101871014A CN 200710187101 A CN200710187101 A CN 200710187101A CN 101187012 A CN101187012 A CN 101187012A
Authority
CN
China
Prior art keywords
chamber
substrate
catalyzer
pyridine
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007101871014A
Other languages
English (en)
Inventor
梅特伊·马哈贾尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101187012A publication Critical patent/CN101187012A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Abstract

本发明公开一种高-k硅酸盐原子层沉积方法。为了产生硅酸铪层,衬底可暴露于铪前驱物、氧化剂脉冲、硅前驱物脉冲和其它氧化剂脉冲。催化剂可额外地与一种或多种反应物穿过分离的入口流入腔室中。选择性地,催化剂可在反应物引入到浸泡程序之前流入到腔室。通过穿过分离的入口协流催化剂或者通过执行催化剂浸泡,硅酸铪形成可在快速和/或在低温下执行。

Description

催化剂辅助的高K材料的硅酸盐的沉积方法
技术领域
本发明主要涉及一种通过原子层沉积(ALD)在衬底上沉积硅酸铪层的方法。
背景技术
在半导体处理领域、平板显示器处理或其它电子器件处理中,气相沉积工艺在将材料沉积到衬底上起重要作用。随着电子器件的几何尺寸持续缩减而器件密度持续增加,部件的尺寸和深宽比变得越来越有挑战性。因此,材料共形沉积以形成这些器件变得越来越重要。
虽然已经证明传统的化学气相沉积(CVD)可成功用于下降到约0.15μm的器件几何尺寸和深宽比,但是更有挑战性的器件几何尺寸需要可选的沉积技术。引起相当大关注的一种技术是ALD。在ALD工艺期间,反应气体顺序引入到包含衬底的工艺腔室中。一般地,第一反应物脉冲到工艺腔室中且被吸附在衬底表面上。然后,第二反应物被脉冲到工艺腔室中并与第一反应物反应以形成沉积材料。抽吸和/或净化步骤可在每种反应物气体的输送期间实施。净化步骤可由载气连续净化或在反应物气体的输送之间脉冲净化。
通过ALD形成硅酸铪是本领域中公知的工艺。在通过ALD形成硅酸铪中,铪前驱物可脉冲到伴有氧化源的腔室中。之后,硅前驱物脉冲到伴有氧气源的腔室中。当沉积高-k硅酸盐时,氧化源存在许多挑战,原因在于氧化源与催化剂的反应。
因此,在该领域中需要一种在ALD中沉积催化剂辅助的高-k材料的硅酸盐的方法。
发明内容
本发明公开一种硅酸铪ALD方法以作为高-k硅方法的示例。为了产生硅酸铪层,衬底可暴露于铪前驱物脉冲、氧化剂脉冲、硅前驱物脉冲和其它氧化剂脉冲。催化剂可额外地与一种或多种反应物穿过分离的入口同向流入腔室中。选择性地,催化剂可在反应物引入到浸泡程序之前流入到腔室。通过穿过分离的入口协流催化剂或者通过执行催化剂浸泡,硅酸铪形成可在快速和/或在低温下执行。
在一个实施方式中,公开一种硅酸铪沉积方法。该方法包含顺序地将衬底放置在腔室中,将该衬底暴露于铪前驱物,将该衬底暴露于第一催化剂浸泡,将该衬底暴露于第一氧化源,将该衬底暴露于硅前驱物,将该衬底暴露于第二催化剂浸泡,以及然后将该衬底暴露于第二氧化源。
在其它实施方式中,公开一种硅酸铪沉积方法。该方法包含顺序地将衬底放置在腔室中;将该衬底暴露于铪前驱物;将该衬底暴露于第一氧化源以及第一硅化剂,其中该第一催化剂和第一氧化源穿过分离的入口流入腔室中;将该衬底暴露于硅前驱物;以及然后将该衬底暴露于第二氧化源和第二催化剂,其中该第二催化剂和第二氧化源穿过分离的入口流入腔室中。
在又一实施方式中,公开一种硅酸铪沉积方法。该方法包含顺序地将衬底放置在腔室中;将该衬底暴露于铪前驱物;将该衬底暴露于水和吡啶,其中所述水和吡啶穿过分离的入口流入腔室中;将该衬底暴露于六氯乙硅烷,以及然后将该衬底暴露于水和吡啶,其中该水和吡啶穿过分离的入口流入腔室中。
附图简要说明
为了能详细理解本发明的以上所述特征,将参照实施方式对以上的概述进行更加详细的描述,其中部分实施方式在附图中示出。然而,应该理解,附图仅示出了本发明的典型实施方式,因此不应理解为本发明范围的限定,因为本发明还承认其它等效实施方式。
图1A是根据本发明的一个实施方式的装置100的示意性视图;
图1B是根据本发明的一个实施方式的注射器的示意性视图;
图2是根据本发明的一个实施方式的沉积方法的流程图200;
图3是根据本发明的另一实施方式的沉积方法的流程图300;
图4是根据本发明又一实施方式的沉积方法的流程图400;
图5是根据本发明的再一实施方式的沉积方法的流程图500;
为了便于理解,尽可能使用相同的附图标记表示图中共有的相同元件。预期在一个实施方式中公开的元件可以有利地用于其它实施方式而不用特殊叙述。
具体实施方式
描述硅酸铪ALD方法为高-k硅方法的示例。为了产生硅酸铪层,衬底可暴露于铪前驱物的脉冲、氧化剂的脉冲、硅前驱物的脉冲和其它氧化剂的脉冲。硅化剂可额外地穿过分离的入口与一种或多种反应物协流到腔室中。可选地,硅化剂可在反应物引入到浸泡工序之前流入到腔室。通过穿过分离的入口与催化剂协流或者通过执行催化剂浸泡,硅酸铪形成工艺可以快速和/或在低温下执行。
图1A是根据本发明的一个实施方式的装置100的示意性视图。装置100包含真空腔室102。装置100可为包含其上放置衬底104的一个或多个基座106的批处理装置100。在一个实施方式中,装置100可为单一的衬底104装置。在另一实施方式中,可使用晶圆舟,其可容纳一个或多个衬底而不用基座。其有利于同时处理多个衬底104,从而增加产量。批处理的一个问题在于保持晶圆到晶圆的均匀性。
前驱物可穿过注射送气室108送入到装置100。注射送气室108可包含一起围绕并限定注射送气腔122的气室壁110和注射板114。注射板114具有多个孔116,前驱气体、净化气体和载气穿过该多个孔可流入120到真空腔室102。注射板114将注射送气室108与真空腔室102分离,使得真空腔室102是注射送气室108的低压侧部112。前驱气体、净化气体和载气可穿过导管118a-118d引入到注射送气室108。
装置100可穿过排气增压室124排气。排气增压室可包含围绕并限定排气增压室128的排气板126和气室壁130。多个孔132可存在于排气板126中。气体可穿过排气口136从排气增压室124排出。
额外的气体可穿过导管134引入到排气增压室124。额外的气体可除去或转化反应副产物,否则其将凝聚在排气增压室124和真空腔室102的表面上。节流阀138可控制真空腔室102的压力。
当通过ALD形成高-k硅酸盐时,可将高-k前驱物,诸如铪前驱物输送至装置100。可用于沉积硅酸铪层的示例性铪前驱物包括包含配体的化合物诸如卤化物、烷氨基、环戊二烯基、烷基、醇化物及其派生物或组合。用于铪前驱物的硅酸铪化合物可包括HfCl4、HfI4和HfBr。用作铪前驱物的铪氨基化合物包括(RR’N)4Hf,其中R或R’为独立的氢、甲基、乙基、丙基或丁基。用于沉积含铪材料的铪前驱物包括(Et2N)4Hf(TDEAH)、(Me2N)4Hf(TDMAH)、(MeEtN)4Hf(TEMAH)、(tBuC5H4)2HfCl2、(C5H5)2HfCl2、(EtC5H4)2HfCl2、(Me5C5)2HfCl2、(Me5C5)HfCl3、(iPrC5H4)2HfCl2 (iPrC5H4)HfCl3、(tBuC5H4)2HfMe2、(acac)4Hf、(hfac)4Hf、(tfac)4Hf、(thd)4Hf、(NO3)4Hf、(tBuO)4Hf、(iPrO)4Hf、(EtO)4Hf、(MeO)4Hf或其派生物。
应该理解虽然本发明已经在以上关于硅酸铪进行描述,但是本发明还可应用于其它高-k材料诸如含Al、Zr、La和Sr的硅酸盐。可使用的高-k前驱物的实施例可在美国专利申请No.2006/0019033 A1中找出,在此引入其全部内容作为参考。
也可将硅前驱物也可输送到装置100。用于沉积硅酸铪的示例性硅前驱物包括硅烷、烷基硅烷、氨基硅烷、烷氨基硅烷、硅烷醇或烷氧基硅烷。例如,硅前驱物可包括(Me2N)4Si,(Me2N)3SiH,(Me2N)2SiH2,(Me2N)SiH3,(Et2N)4Si,(Et2N)3SiH,(MeEtN)4Si,(MeEtN)3SiH,Si(NCO)4,MeSi(NCO)3,SiH4,Si2H6,SiCl4,Si2Cl6,MeSiCl3,HSiCl3,Me2SiCl2,H2SiCl2,MeSi(OH)3,Me2Si(OH)2,(MeO)4Si,(EtO)4Si或其派生物。其它用作硅前驱物的烷氨基硅烷化合物包括(RR’N)4SiHn,其中R或R’为独立的氢、甲基、乙基、丙基或丁基。并且n=0~3。其它烷氧基硅烷可通过一般化学式(RO)4-NSiLn描述,其中R=甲基、乙基、丙基或丁基且L=H、OH、F、Cl、Br或I及其混合物。另外,较高的烷基可用作本发明部分实施方式中的硅前驱物。较高的烷基在共同转让的美国专利申请No.US 2004/0224089 A1中公开,在此引入其全部内容作为参考。在部分实施方式中,硅前驱物可包括三(二甲基氨基)硅烷((Me2N)3SiH或三-DMAS)、四(二甲基氨基)硅烷((Me2N)4Si或TDMAS)或其它二烷基氨基硅烷,而在其它实施方式中硅前驱物可包含硅烷(SiH4)或硅烷醇。在又一实施方式中,硅前驱物可包含六氯乙硅烷(HCDS)。在再一实施方式中,硅前驱物可包含四-乙氧基-硅烷(TEOS)。
用于在ALD工艺中形成硅酸铪的氧化源可包含氧气(O2)、臭氧(O3)、原子氧(O)、过氧化氢(H2O2)、一氧化二氮(N2O)、一氧化氮(NO)、五氧化二氮(N2O5)、二氧化氮(NO2)、水(H2O)、乙醇及其派生物或组合。在示例性实施方式中,氧化源包含水(H2O)。
当使用水作为氧化源时,供应催化剂以允许ALD与在不存在催化剂情形下发生相比在较快速度和较低温度下进行。可使用的催化剂的实施例包括氨和吡啶。吡啶和水相互作用。因此,当水和吡啶一起穿过相同的进入导管协流到腔室时,水和吡啶可在到达腔室之前互相作用。当水和吡啶相互作用时,吡啶不再有效地用作催化剂,因此不增加ALD沉积速度。
为了避免水和吡啶在达到腔室之前互相作用,吡啶和水可使用分离的输送导管分离注射送气室而协流到腔室。图1B是根据本发明的一个实施方式的注射送气室108a-c的示意性视图。如在图1B中所示,每个导管118a-118d送入到分离的注射送气室108a-108d。因此,可防止吡啶-水的相互作用直到吡啶和水达到腔室。
硅前驱物诸如HCDS和TEOS与铪前驱物诸如TDMAH、TEMAH、TDEAH和HfCl4不与吡啶相互作用。因此,硅前驱物和吡啶可使用相同的导管和注射送气室协流到腔室。在一个实施方式中,吡啶和硅前驱物可使用相同的导管和注射送气室流到腔室。在另一实施方式中,吡啶和硅前驱物可使用分离的导管和注射送气室流到腔室。另外,铪前驱物和吡啶可使用相同的导管和注射送气室协流到腔室。在一个实施方式中,吡啶和铪前驱物可使用相同的导管和注射送气室流到腔室。另一实施方式中,吡啶和铪前驱物可使用分离的导管和注射送气室流到腔室。
使用分离注射送气室和导管协流吡啶和水的可选实施例为在将水引入到腔室之前将衬底暴露于吡啶浸泡剂。吡啶浸泡可包含将衬底暴露于吡啶而不用引入其它前驱物或氧化剂诸如水。衬底可暴露于吡啶足以用吡啶使衬底饱和的时间。在一个实施方式中,吡啶浸泡进行大于约10秒的时间。通过执行吡啶浸泡,足够的催化剂可存在于腔室内和衬底表面,以确保当引入水前驱物时存在催化剂。由于吡啶已经在腔室中,所以所有的吡啶不会通过在到达腔室之前与水相互作用而消耗。当执行吡啶浸泡时,额外的吡啶可根据需要与氧化剂协流,与硅前驱物协流,以及与铪前驱物协流。在一个实施方式中,当硅前驱物和随后的水输送到腔室时,执行吡啶浸泡并且嘧啶持续流到腔室中。在另一实施方式中,执行吡啶浸泡并且在水输送和硅前驱物输送期间停止吡啶输送。在又一实施方式中,当铪前驱物以及随后水输送到腔室时,吡啶浸泡执行并且嘧啶持续流到腔室中。在再一实施方式中,在水输送和铪前驱物输送期间,执行吡啶浸泡并停止吡啶输送。吡啶允许在低温诸如从约100摄氏度到约300摄氏度下发生反应。在一个实施方式中,温度范围为约150摄氏度到约200摄氏度。随着温度降低,硅酸铪的生长速度增加。
当使用氨作为催化剂时,用与以上关于吡啶浸泡相似的方式执行氨浸泡。氨可与铪和硅前驱物使用相同或分离的入口协流到腔室。当前驱物流到腔室时,还可停止氨流。当将氧化源提供到腔室时,可额外地将氨提供到腔室。可使用相同的入口或使用与氧化源分离的入口提供氨。另外,在引入氧化源之前,氨浸泡可存在或不存在。
图2是根据本发明的一个实施方式的沉积方法的流程图200。首先,一个或多个衬底可放置到工艺腔室中(步骤202)。然后,衬底可可选地暴露于吡啶浸泡。吡啶浸泡发生至少10秒。在可选的吡啶浸泡之后,铪前驱物的脉冲引入到腔室(步骤204)。当铪前驱物引入到腔室时,可选地将吡啶提供到腔室。
在铪前驱物脉冲之后,可净化和/或抽吸腔室(步骤206)。可使用的示例性净化气体包括诸如氩的惰性气体。在一个实施方式中,净化气体可包含氮气。可抽吸腔室以去除净化气体和可能存在于腔室中的任何残留铪前驱物。在一个实施方式中,不执行抽吸步骤,从而仅执行净化步骤。可选地,可去除净化步骤,从而抽吸腔室以去除铪前驱物。在一个实施方式中,抽吸可发生在净化气体引入之前和之后。在另一实施方式中,净化和抽吸步骤都可重复。抽吸和/或净化可发生多次。在又一实施方式中,净化和抽吸可结合为一个步骤。
在抽吸和/或净化之后,可发生吡啶浸泡(步骤208)。在第一吡啶浸泡之后,氧源诸如水的脉冲可引入到腔室(步骤210)。当氧源引入到腔室时,吡啶可以可选地协流到腔室中。如果吡啶与水协流到腔室中,则吡啶和水将具有到腔室中的分离入口,以便水和吡啶不通过相同的导管和入口同时流入腔室。
在将氧源脉冲提供到腔室之后,可如上所述抽吸和/或净化腔室(步骤212)。在抽吸和/或净化之后,可选地进行其它吡啶浸泡。之后,硅前驱物可脉冲到腔室中(步骤214)。当硅前驱物引入到腔室中时,吡啶可选地提供到腔室中。在硅前驱物脉冲之后,可再次抽吸和/净化腔室(步骤216)。
在抽吸和/或净化之后,衬底可暴露于其它吡啶浸泡(步骤218)。吡啶浸泡可在以上所述的存在吡啶浸泡的相同处理条件下发生。在吡啶浸泡之后,氧化源诸如H2O的脉冲可引入到腔室(步骤220)。由于吡啶还从浸泡步骤存在于腔室内,所以存在足够的吡啶用作催化剂。在将衬底暴露于氧化源诸如H2O之后,可在如以上所述条件下执行其它抽吸和/或净化循环(步骤222)。
在抽吸和/或净化腔室之后,可测量硅酸铪层的厚度以确定是否已经达到预定的硅酸铪厚度(步骤224)。如果还没有达到预定厚度,则可重复沉积工序。如果已经达到了预定厚度,则工艺终止(步骤226)。
图3是根据本发明的另一实施方式的沉积方法的流程图300。首先,将个一个或多个衬底放置在工艺腔室中(步骤302)。然后衬底可选地暴露于氨浸泡。氨浸泡发生至少10秒。在可选的氨浸泡之后,可将铪前驱物的脉冲引入到腔室(步骤304)。当铪前驱物引入到腔室时,可选地将氨提供到腔室。
在铪前驱物的脉冲之后,可如上所述净化和/或抽吸腔室(步骤306)。在抽吸和/或净化之后,可发生氨浸泡(步骤308)。在氨浸泡之后,氧源诸如水的脉冲可引入到腔室(步骤310)。当氧源引入到腔室时,氨可选地协流到腔室中。可穿过相同的导管或穿过分离的导管将氨和氧源诸如水提供到腔室。
在已经将氧源脉冲提供到腔室之后,可如上所述在此抽吸和/或净化腔室(步骤312)。在抽吸和/或净化之后,可选地发生其它氨浸泡。之后,硅前驱物可脉冲至腔室中(步骤314)。当硅前驱物引入到腔室中时,可选地将氨提供到腔室。在硅前驱物脉冲之后,可再次抽吸和/或净化腔室(并步骤316)。
在抽吸和/或净化之后,衬底可暴露于其它氨浸泡(步骤318)。氨浸泡可在对于以上所述的氨浸泡相同的处理条件下发生。在氨浸泡之后,氧源诸如H2O脉冲可引入到腔室(步骤320)。在衬底暴露于氧源诸如H2O之后,可在如以上所述的条件下执行其它抽吸和/或净化循环(步骤322)。
在已经抽吸和/或净化腔室之后,可测量硅酸铪的厚度以确定是否已经达到预定的硅酸铪厚度(步骤324)。如果还没有达到预定厚度,则重复沉积工序。如果已经达到了预定厚度,则工艺终止(步骤326)。
图4是根据本发明的又一实施方式的沉积方法的流程图400。首先,将一个或多个衬底放置在工艺腔室中(步骤402)。可将铪前驱物的脉冲引入到腔室(步骤404)。吡啶可选地与铪前驱物以以上所述的相似方式协流到腔室。在铪前驱物脉冲之后,可如上所述净化和/或抽吸腔室(步骤406)。
在抽吸和/或净化之后,将氧源诸如水的脉冲引入到腔室(步骤408)。在将氧源引入到腔室时,吡啶可协流到腔室中。吡啶和水将以分离的入口流入腔室,从而水和吡啶不通过相同的导管和入口同时流入腔室。
在已经将氧源和吡啶脉冲提供到腔室之后,可如上所述在此抽吸和/或净化腔室(步骤410)。之后,硅前驱物可脉冲到腔室中(步骤412)。吡啶可选地与硅前驱物用以上所述的相似方式协流到腔室。在硅前驱物脉冲之后,可在此抽吸和/或净化腔室(步骤414)。
在抽吸和/或净化之后,可将氧源诸如H2O的脉冲引入到腔室(步骤416)。当将氧源引入到腔室时,吡啶可协流到腔室中。吡啶和水将具有分离的入口流入腔室,以便水和吡啶不通过相同的导管和入口同时流入腔室。在将衬底暴露于氧源诸如H2O之后,可在以上所述的条件下执行其它抽吸和/或净化循环(步骤418)。
在已经抽吸和/或净化腔室之后,可测量硅酸铪层的厚度以确定是否已经达到了预定硅酸铪厚度(步骤420)。如果还没有达到预定厚度,则重复沉积工序。如果已经达到了预定厚度,则工艺终止(步骤422)。
图5是根据本发明的再一实施方式的沉积方法的流程图500。首先,将一个或多个衬底放置在工艺腔室中(步骤502)。可将铪前驱物的脉冲引入到腔室(步骤504)。氨可选地与铪前驱物用于以上所述的相似方式协流到腔室。在铪前驱物脉冲之后,可如上所述净化和/或抽吸腔室(步骤506)。
在抽吸和/或净化之后,可将氧源诸如水的脉冲引入到腔室(步骤508)。当将氧源引入到腔室时,氨可协流入腔室。水和氨可穿过不同的导管和入口或穿过相同的导管和入口协流。
在已经将氧源脉冲和氨提供到腔室之后,可如上所述再次抽吸和/或净化腔室(步骤510)。之后,硅前驱物脉冲入腔室中(步骤512)。氨可选地与硅前驱物用以上所述的方式协流入腔室。在硅前驱物脉冲之后,可再次抽吸和/或净化腔室(步骤514)。
在抽吸和/或净化之后,氧源诸如H2O的脉冲可引入到腔室(步骤516)。当将氧源引入到腔室时,氨可协流入腔室中。氨和水可如上所述流过相同或分离的导管和入口。在衬底暴露于氧源诸如H2O之后,可在以上所述的条件下执行其它抽吸和/或净化循环(步骤518)。
在已经抽吸和/或净化腔室之后,可测量硅酸铪层的厚度以确定是否已经达到了预定硅酸铪厚度(步骤520)。如果还没有达到预定厚度,则重复沉积工序。如果已经达到了预定厚度,则工艺终止(步骤522)。
应该理解,当参照处理一个衬底描述时,还可以处理多个衬底。例如,约2个衬底、约25个衬底、约50个衬底或者约100个衬底可在批处理腔室中处理。另外,吡啶浸泡可发生约1秒至约90分钟或1分钟至约20分钟的时间周期。可选地,吡啶浸泡可发生约30秒至60分钟或约20分钟至约40分钟。在又一可选实施方式中,吡啶浸泡可发生约1分钟至约40分钟。
通过提供吡啶浸泡和/或穿过分离的导管线路的吡啶和H2O协流,足够的吡啶到达腔室,并因此达到衬底表面,以确保吡啶用作催化剂。通过在H2O氧化气氛中使用吡啶用作催化剂,硅酸铪ALD可在增加的速度下在约150摄氏度到约200摄氏度的温度范围下发生。另外地,通过提供氨浸泡和/或氨与氧源协流,硅酸铪层可通过ALD在增加的速度下在约150摄氏度到约200摄氏度的温度范围下发生。
虽然前述涉及本发明的实施方式,但是在不脱离本发明的基本范围的情形下,本发明还承认其它和进一步的实施方式,并且本发明的范围由以下的权利要求书确定。

Claims (20)

1.一种高-k硅酸盐沉积方法,顺序地包含:
(a)在腔室中放置至少一个衬底;
(b)将所述至少一个衬底暴露于高-k前驱物;
(c)将所述至少一个衬底暴露于第一催化剂浸泡;
(d)将所述至少一个衬底暴露于第一氧化源;
(e)将所述至少一个衬底暴露于硅前驱物;
(f)将所述至少一个衬底暴露于第二催化剂浸泡;以及然后
(g)将所述至少一个衬底暴露于第二氧化源。
2.根据权利要求1所述的方法,其特征在于,在所述第一和第二催化剂浸泡中使用的所述催化剂选自吡啶和氨。
3.根据权利要求1所述的方法,其特征在于,所述硅前驱物选自六氯乙硅烷和四-乙氧基-硅烷。
4.根据权利要求1所述的方法,其特征在于,进一步包含:
将所述至少一个衬底暴露于净化气体。
5.根据权利要求1所述的方法,其特征在于,所述高-k前驱物是选自TDMAH、TEMAH、TDEAH和HfCl4的铪前驱物。
6.根据权利要求1所述的方法,其特征在于,进一步包含:
重复(b)-(g)步骤一次或多次。
7.根据权利要求1所述的方法,其特征在于,所述第一和第二氧化源选自H2O、O3、O2或氧自由基。
8.根据权利要求1所述的方法,其特征在于,在所述第一和第二催化剂浸泡中使用的所述催化剂是相同的。
9.根据权利要求1所述的方法,其特征在于,所述硅前驱物包含六氯乙硅烷并且所述第一和第二催化剂浸泡包含吡啶作为催化剂。
10.根据权利要求1所述的方法,其特征在于,所述硅前驱物包含四-乙氧基-硅烷并且所述第一和第二催化剂浸泡包含氨作为催化剂。
11.一种高-k硅酸盐沉积方法,顺序地包含:
(a)将至少一个衬底放置在腔室中;
(b)将所述至少一个衬底暴露于高-k前驱物;
(c)将所述至少一个衬底暴露于第一氧化源和第一催化剂,所述第一催化剂和第二氧化源经过分离的入口流入所述腔室中;
(d)将所述至少一个衬底暴露于硅前驱物;以及然后
(e)将所述至少一个衬底暴露于第二氧化源和第二催化剂,所述第二催化剂和所述第二氧化源经过分离的入口流入所述腔室中。
12.根据权利要求11所述的方法,其特征在于,所述第一和第二催化剂选自吡啶和氨。
13.根据权利要求11所述的方法,其特征在于,所述硅前驱物选自六氯乙硅烷和四-乙氧基-硅烷。
14.根据权利要求11所述的方法,其特征在于,进一步包含:
将所述至少一个衬底暴露于净化气体。
15.根据权利要求11所述的方法,其特征在于,所述高-k前驱物是选自TDMAH、TEMAH、TDEAH和HfCl4的铪前驱物。
16.根据权利要求11所述的方法,其特征在于,进一步包含:
重复(b)-(e)步骤一次或多次。
17.根据权利要求11所述的方法,其特征在于,所述第一和第二氧化源选自H2O、O3、O2或氧自由基。
18.根据权利要求11所述的方法,其特征在于,所述硅前驱物包含六氯乙硅烷并且所述第一和第二催化剂浸泡包含吡啶作为催化剂。
19.根据权利要求11所述的方法,其特征在于,所述硅前驱物包含四-乙氧基-硅烷并且所述第一和第二二催化剂浸泡包含氨作为催化剂。
20.一种高-k硅酸盐沉积方法,顺序地包含:
将至少一个衬底放置在腔室中;
将所述至少一个衬底暴露于铪前驱物;
将所述至少一个衬底暴露于水和吡啶,所述水和吡啶经过分离的入口流入所述腔室中。
将所述至少一个衬底暴露于六氯乙硅烷;以及然后
将所述至少一个衬底暴露于水和吡啶,所述水和吡啶经过分离的入口流入所述腔室中。
CNA2007101871014A 2006-11-14 2007-11-14 催化剂辅助的高k材料的硅酸盐的沉积方法 Pending CN101187012A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/559,486 US7776395B2 (en) 2006-11-14 2006-11-14 Method of depositing catalyst assisted silicates of high-k materials
US11/559,486 2006-11-14

Publications (1)

Publication Number Publication Date
CN101187012A true CN101187012A (zh) 2008-05-28

Family

ID=38792030

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101871014A Pending CN101187012A (zh) 2006-11-14 2007-11-14 催化剂辅助的高k材料的硅酸盐的沉积方法

Country Status (6)

Country Link
US (1) US7776395B2 (zh)
EP (1) EP1925692A1 (zh)
JP (1) JP5219466B2 (zh)
KR (1) KR100954027B1 (zh)
CN (1) CN101187012A (zh)
TW (1) TWI359877B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102751216A (zh) * 2011-04-18 2012-10-24 东京毅力科创株式会社 热处理装置

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678709B1 (en) * 2007-07-24 2010-03-16 Novellus Systems, Inc. Method of forming low-temperature conformal dielectric films
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5722008B2 (ja) * 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013027549A1 (ja) * 2011-08-25 2013-02-28 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
TW201435132A (zh) * 2013-02-22 2014-09-16 Applied Materials Inc 包含SiOC的膜的催化性原子層沉積
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6112928B2 (ja) * 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6192966B2 (ja) * 2013-04-01 2017-09-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015136673A1 (ja) * 2014-03-13 2015-09-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP2016058676A (ja) * 2014-09-12 2016-04-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6068539B2 (ja) * 2015-03-25 2017-01-25 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN113243039B (zh) * 2018-12-20 2022-06-28 应用材料公司 生长掺杂iv族材料的方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JPWO2020189205A1 (ja) * 2019-03-18 2021-12-16 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびノズル
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6548047B1 (en) * 1997-09-15 2003-04-15 Bristol-Myers Squibb Medical Imaging, Inc. Thermal preactivation of gaseous precursor filled compositions
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6613383B1 (en) * 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6713177B2 (en) * 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
EP1772534A3 (en) * 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
DE10057009A1 (de) * 2000-11-17 2002-05-29 Celanese Ventures Gmbh Non-Metallocene, Verfahren zur Herstellung von diesen und deren Verwendung zur Polymerisation von Olefinen
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
CA2452656C (en) * 2001-07-18 2010-04-13 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US20040084774A1 (en) * 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
DE60330896D1 (de) * 2002-11-15 2010-02-25 Harvard College Atomlagenabscheidung (ald) mit hilfe von metallamidinaten
JP2004176081A (ja) * 2002-11-25 2004-06-24 Matsushita Electric Works Ltd 原子層堆積法による光学多層膜の製造方法
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
DE10303413B3 (de) * 2003-01-29 2004-08-05 Infineon Technologies Ag Verfahren zur Herstellung eines Oxidkragens für einen Grabenkondensator
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
KR100564609B1 (ko) * 2003-02-27 2006-03-29 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
US20050227007A1 (en) * 2004-04-08 2005-10-13 Bradley Alexander Z Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
US7052990B2 (en) * 2003-09-03 2006-05-30 Infineon Technologies Ag Sealed pores in low-k material damascene conductive structures
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
WO2005038084A2 (en) * 2003-10-17 2005-04-28 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR100689824B1 (ko) * 2004-05-14 2007-03-08 삼성전자주식회사 원자층 증착 기술을 이용한 금속 실리케이트막 형성 방법
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7592251B2 (en) * 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102751216A (zh) * 2011-04-18 2012-10-24 东京毅力科创株式会社 热处理装置
CN102751216B (zh) * 2011-04-18 2016-01-20 东京毅力科创株式会社 热处理装置

Also Published As

Publication number Publication date
US7776395B2 (en) 2010-08-17
KR100954027B1 (ko) 2010-04-20
KR20080043706A (ko) 2008-05-19
JP5219466B2 (ja) 2013-06-26
TW200831696A (en) 2008-08-01
TWI359877B (en) 2012-03-11
US20080113096A1 (en) 2008-05-15
EP1925692A1 (en) 2008-05-28
JP2008142702A (ja) 2008-06-26

Similar Documents

Publication Publication Date Title
CN101187012A (zh) 催化剂辅助的高k材料的硅酸盐的沉积方法
US7749574B2 (en) Low temperature ALD SiO2
CN1712560B (zh) 使用垂直cvd装置的cvd方法
KR101977522B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US20070042581A1 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US7402534B2 (en) Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) Treatment processes for a batch ALD reactor
CN101660138A (zh) 活化气体注入装置、成膜装置和成膜方法
CN101529599A (zh) 用于栅极叠层结构的集群顺序处理的方法
JP3742641B2 (ja) ハフニウム化合物を利用した薄膜蒸着方法
JPWO2007108401A1 (ja) 半導体装置の製造方法および基板処理装置
US10253414B2 (en) Liquid phase atomic layer deposition
CN1868041A (zh) 氧化硅和氧氮化硅的低温沉积
KR100819639B1 (ko) 기판 처리 장치 및 반도체 디바이스의 제조 방법
EP3620550A1 (en) Methods for making silicon containing films that have high carbon content
US9200365B2 (en) Method of catalytic film deposition
KR20010036268A (ko) 원자층 증착법을 이용한 금속 산화막 형성방법
Mahajani et al. Low temperature ALD SiO2
JP2007103966A (ja) 基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20080528