CN101155648B - 衬底表面和室表面的蚀刻剂处理工艺 - Google Patents

衬底表面和室表面的蚀刻剂处理工艺 Download PDF

Info

Publication number
CN101155648B
CN101155648B CN200680010817.0A CN200680010817A CN101155648B CN 101155648 B CN101155648 B CN 101155648B CN 200680010817 A CN200680010817 A CN 200680010817A CN 101155648 B CN101155648 B CN 101155648B
Authority
CN
China
Prior art keywords
substrate
silicon
process chamber
etchant
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200680010817.0A
Other languages
English (en)
Other versions
CN101155648A (zh
Inventor
阿卡第·V·萨沐罗夫
阿里·佐扎伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority claimed from PCT/US2006/002841 external-priority patent/WO2006083693A2/en
Publication of CN101155648A publication Critical patent/CN101155648A/zh
Application granted granted Critical
Publication of CN101155648B publication Critical patent/CN101155648B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Abstract

本发明的一种实施方式提供了一种处理含硅表面的方法,该方法包括通过慢蚀刻工艺(例如,约<100/min)去除污染物和/或平滑衬底表面。将衬底暴露于包含蚀刻剂和硅源的蚀刻气体。优选地,蚀刻剂为氯气,并将衬底加热至小于约800℃的温度。在另一种实施方式中,提供了一种快蚀刻工艺(例如,约>100/min),该工艺包括去除硅材料,同时在衬底表面的源极/漏极(S/D)区域内形成凹槽。在另一种实施方式中,提供了一种清洁处理室的方法,该方法包括将处理室内表面暴露于包含蚀刻剂和硅源的室清洁气体。该室清洁工艺限制了对处理室内部的石英和金属表面的蚀刻。

Description

衬底表面和室表面的蚀刻剂处理工艺
技术领域
本发明的实施方式一般性地涉及电子制造工艺和器件,更具体地,本发明的实施方式涉及形成电子器件时蚀刻和沉积含硅材料的方法。
背景技术
诸如半导体器件之类的电子器件是通过包括沉积和去除含硅材料的一系列步骤制造的。沉积和去除步骤以及其它工艺步骤可能会导致包含含硅材料的衬底表面变粗糙和/或暴露于污染物。而且,在沉积和去除步骤中,颗粒和其它污染物积聚在处理室的内表面上。最终,这些颗粒会进一步污染衬底表面。粗糙或被污染的衬底表面通常造成界面质量差,这会导致器件性能和可靠性较差。
为了克服衬底表面上的污染物和粗糙度问题,已经开发出了蚀刻工艺。然而,传统的蚀刻工艺具有一些不足。通常,为了去除含硅材料,例如氯化氢(HCl)的蚀刻剂需要较高的活化温度。因此,蚀刻工艺常常在1000℃或更高的温度下进行。这样的高温在制造工艺过程中是不期望的,原因在于以下几个方面:热预算考虑、可能失控的氮化反应或对衬底表面的过度蚀刻以及经济效率的下降。具有这种极端添加的蚀刻工艺损害处理室的内表面,例如热石英衬里。与使用氯化氢蚀刻剂的工艺相比,使用氯(Cl2)在更低温度下的蚀刻工艺中去除含硅材料。然而,氯与含硅材料反应很快,所以不容易控制蚀刻速率。因此,使用氯气的工艺通常对含硅材料造成过度蚀刻。
此外,传统蚀刻工艺一般在蚀刻室或热处理室中进行。一旦含硅材料的蚀刻完成,衬底即被转移到用于后续沉积步骤的第二室中。通常,衬底在蚀刻工艺与沉积工艺之间暴露于周围环境。周围环境可将水和/或氧引到衬底表面,形成氧化物层。
在蚀刻工艺或沉积工艺之前,通常将衬底暴露于预处理工艺,所述预处理工艺包括湿法清洁工艺(例如,HF最后(HF-last)工艺)、等离子体清洁或酸洗涤工艺。在预处理工艺之后并且在蚀刻工艺开始之前,衬底不得不在处理室或受控环境外部停留被称为排队时间(Q时间)的一段时间。在Q时间期间,衬底暴露于周围环境条件,这种条件包含大气压和室温下的氧和水。此周围暴露会在衬底表面形成氧化物层,例如氧化硅。通常,Q时间越长形成的氧化物层越厚,因此,为了维持吞吐量,必须在更高的温度和压力下进行更加极端的蚀刻工艺。
因此,需要一种蚀刻工艺,用于处理衬底表面上的含硅材料,以去除其上包含的任何污染物和/或使衬底表面平滑。还需要,能够在处理室中处理衬底表面,而该处理室可以随后用于下一工艺步骤,例如沉积外延层。此外,需要将工艺温度保持低温,例如低于1000℃、优选低于800℃,即使是对于已经经受较长Q时间(例如,约10小时)的衬底。而且,需要在不损坏处理室的内表面的条件下减少颗粒在这些内表面上的积累。
发明内容
在一种实施方式中,提供了一种加工或处理含硅表面的方法,该方法包括平滑所述表面和去除所述表面上包含的污染物。在一个实施例中,将衬底置于处理室中,并加热至约500-700℃的温度。将衬底暴露于含蚀刻剂、硅源和载气的蚀刻气体中。可以使用氯气(Cl2)作为蚀刻剂,从而在蚀刻工艺过程中使用相对低的温度。硅源通常与蚀刻剂同时提供,目的是抑制由蚀刻剂所致的过度蚀刻。即,使用硅源在衬底表面沉积硅,而蚀刻剂用于去除所述硅。调节蚀刻剂和硅源暴露于衬底的速率,以使总反应有利于材料去除和/或再分布。因此,在一个实施例中,在总反应期间去除含硅材料的同时,可以精细地控制蚀刻速率(例如,每分钟数埃或更少)。在另一个实施例中,在再分布过程中,含硅材料从表面的较高部分(即,峰)去除,同时添加至表面的较低部分(即,谷)。表面粗糙度为约6nmRMS(均方根)或更大的含硅表面可以转化为表面粗糙度小于约0.1nmRMS的更加光滑的表面。
在另一种实施方式中,提供了一种蚀刻含硅表面的方法,该方法包括快速去除含硅材料,以在衬底上的源极/漏极(S/D)区域形成凹槽。在另一种实施例中,将衬底置于处理室中,并加热至约500-800℃的温度。当衬底被加热时,将含硅表面暴露于含蚀刻剂和载气的蚀刻气体中。可以选择氯气作为快速蚀刻工艺中的蚀刻剂,这种工艺通常不包含硅源或包含低浓度的硅源。可在蚀刻气体中加入硅源,从而对去除速率进行额外的控制。
在另一种实施方式中,在室清洁工艺中,通过将处理室的内表面暴露于蚀刻气体以去除颗粒和其它污染物,由此对处理室进行清洁。内表面通常包含会在蚀刻剂清洁工艺中被损坏的含硅材料(例如,石英)。因此,除了蚀刻剂和载气以外,蚀刻气体还可包含硅源,以抑制由蚀刻剂造成的过度蚀刻。在一个实施例中,室清洁气体包含氯气和硅烷。例如氮气的载气可与蚀刻剂、硅源或两者结合。通常,与慢蚀刻工艺或快蚀刻工艺相比,在室清洁工艺中,处理室被加热至更高的温度。在一个实施例中,处理室可在室清洁工艺中被加热至约700-1000℃的温度。
在另一种实施方式中,提供了一种在衬底表面形成含硅材料的方法,该方法包括将包含硅材料的衬底定位在处理室中以及在蚀刻工艺中将所述衬底暴露于包含氯气和硅烷的蚀刻气体。该方法还包括:在外延沉积工艺中将衬底暴露于包含氯气的沉积气体;从处理室去除衬底;以及在室清洁工艺中将处理室暴露于包含氯气和硅烷的室清洁气体。在一个实施例中,在蚀刻工艺中,以约100/min或更小的速率、优选以约10/min或更小的速率、更优选以2/min或更小的速率去除硅材料。在另一个实施例中,在蚀刻工艺中,以大于100/min的速率、优选以约200-1000/min的速率去除硅材料。
在另一种实施方式中,提供了一种在具有至少第二材料(例如,氮化物材料、氧化物材料或其组合)的衬底上蚀刻含硅单晶材料的方法,该方法包括:将衬底定位在处理室中;将所述衬底暴露于包含氯气和载气的蚀刻气体;去除预定厚度的所述含硅单晶材料以形成暴露单晶表面;以及在处理室中在所述暴露单晶表面上形成外延层。蚀刻气体可以包括硅源,例如硅烷、二硅烷、二氯硅烷、四氯硅烷、六氯二硅烷、其衍生物或其组合;载气可以包括氮、氩、氦或其组合。在一个实施例中,将处理室加热至约500-700℃,并加压至约10-750Torr。可以以约200-1000/min的速率去除单晶材料,以在衬底上的源极/漏极区域内形成凹槽形态。源极/漏极区域可以用在CMOS、Bipolar、BiCMOS或类似器件中。外延层通常包含硅,硅锗、硅碳、硅锗碳、其衍生物或其组合。
在另一种实施方式中,提供了一种在衬底上形成含硅单晶材料的方法,该方法包括:将衬底暴露于最后HF湿清洁工艺;将所述衬底定位在处理室中;将所述衬底暴露于包含氯气和载气的蚀刻气体;以及去除预定厚度的所述含硅单晶材料,以形成暴露单晶表面。该方法可以进一步提供在处理室中在暴露电单晶表面上沉积外延层以及用氯气清洁处理室以去除其上粘附的含硅污染物。外延层可以通过包含氯气的沉积气体来沉积,载气可以是氮气。在一个实施例中,氮气和氯气在处理室清洁步骤中一起流动。
附图说明
为了能够详细理解本发明的上述特点,以下通过参考附图所示的实施方式对上面概述的本发明进行更具体的描述。然而应当注意到,附图仅说明了本发明的典型实施方式,因而不应看作是对其范围的限制,本发明可容许其它等同有效的实施方式。
图1为示出了根据本文所述的一种实施方式的处理含硅材料的工艺的流程图;
图2A-2C示出了本文所述的工艺中的不同阶段的衬底的示意图;
图3为示出了根据本文所述的一种实施方式的处理含硅材料的工艺的流程图;
图4A-4C示出了本文所述的工艺中的不同阶段的另一个衬底的示意图;
图5为示出了根据本文所述的一种实施方式的制造衬底随后清洁处理室的工艺的流程图。
具体实施方式
本发明的实施方式提供了在衬底表面上蚀刻和沉积含硅材料的工艺。在一种实施方式中,慢蚀刻工艺(例如,<100/min)和快蚀刻工艺(例如,>100/min)在蚀刻气体中使用蚀刻剂和硅源。在另一种实施方式中,在用于从内表面去除沉积物或污染物的室清洁步骤中,将处理室暴露于蚀刻气体。在另一种实施方式中,在室清洁工艺中,通过将处理室的内表面暴露于蚀刻气体来清洁处理室,以去除颗粒和其它污染物。慢蚀刻工艺(预清洁和平滑)
可以进行慢蚀刻工艺(例如,<100/min)以从衬底表面去除污染物和消除表面不规则性(例如粗糙度)。在一个方面,可以蚀刻衬底表面以暴露不含或基本不含污染物的下层。在另一个方面,可以再分布衬底表面的材料,以最小化或消除导致表面不规则的峰和谷。在慢蚀刻工艺期间,将衬底暴露于包含蚀刻剂、硅源和可选的载气的蚀刻气体。通过操纵蚀刻剂与硅源的相对流率、使用特定的蚀刻剂源和硅源以及通过调节温度及压力,可以部分地控制总反应。
可将衬底暴露于预处理工艺,以制备用于后续蚀刻工艺的衬底表面。预处理工艺可以包括湿法清洁工艺,例如最后HF工艺、等离子体清洁、酸洗涤工艺或其组合。在一个实施例中,通过将表面持续暴露于氢氟酸溶液(例如,约0.5wt%的HF水溶液)约2分钟,用最后HF湿清洁工艺处理衬底。
图1示出了从图2A所示的衬底200去除污染物212和粗糙区域218的工艺100的流程图。衬底200包括含硅层205和表面210。表面210上或其中包含污染物212和粗糙区域218。粗糙区域218可由表面210内的峰216和谷214形成。在慢蚀刻工艺中,可将预定厚度的材料220从含硅层205去除,以露出暴露表面230(图2B)。然后,在可选的沉积工艺中,可在暴露表面230上形成层240(图2C)。在一个实施例中,层240包含由外延沉积工艺沉积的含硅材料。
本发明的实施方式提供了在各种衬底表面和衬底(例如衬底200和400以及层205和405(图2A-2C和4A-4C)上蚀刻和沉积含硅材料的工艺。本文所用的“衬底”或“衬底表面”是指任何衬底或在进行膜处理的衬底上形成的材料表面。例如,可对其进行处理的衬底表面例如包括以下材料:硅、含硅材料、氧化硅、应变硅、绝缘体上硅(S0I)、掺氟硅酸盐玻璃(FSG)、掺碳氧化硅、氮化硅、掺杂硅、硅锗、硅锗碳、锗、硅碳、砷化镓、玻璃、蓝宝石或取决于应用的其它材料。衬底表面还可包括电介质材料,例如二氧化硅、氮化硅、氧氮化硅和/或掺碳氧化硅。衬底可以具有各种尺寸,例如200mm或300mm直径晶片以及长方形或正方形的晶片。本文所述的工艺的实施方式可以在许多衬底和表面尤其是硅和含硅材料上蚀刻和沉积。可以使用本发明的实施方式的衬底包括但不限于半导体晶片,例如晶体硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片、氮化砖以及图案化或未图案化的晶片。
在本申请中,术语“含硅”材料、化合物、膜或层应被解释为包括至少包含硅的组合物并且可以包含锗、碳、硼、砷、磷、镓和/或铝。其它元素(例如,金属、卤素或氢)通常以百万分率(ppm)左右的浓度加入含硅材料、化合物、膜或层中。含硅材料的化合物或合金可由缩写表示,例如Si表示硅、SiGe表示硅锗、SiC表示硅碳以及SiGeC表示硅锗碳。这些缩写既不代表具有化学计量关系的化学式,也不表示含硅材料的任何特定的还原/氧化态。含硅材料、化合物、膜或层可以包括衬底或衬底表面。
表面210上的污染物212包括有机残余物、碳、氧化物、氮化物、卤化物(例如,氟化物或氯化物)或其组合。例如,表面210在暴露于环境空气之后可以包含一层氧化硅,或者在用最后HF湿法清洁工艺处理之后可以包含一层氟化硅。表面210还可包含不规则或粗糙区域,例如粗糙区域218内的谷214和峰216。
可将衬底200定位在处理室内并加热至预定温度(步骤110)。可将衬底和处理室完全或其一部分加热至约300-800℃的温度,优选约500-700℃,更优选约550-650℃。可将处理室保持在约1mTorr-约760Tor的压力,优选约0.1-500Torr,更优选约1-100Torr。
在一种实施方式中,使用冷壁反应器作为在较低温度下进行的工艺的处理室。冷壁反应器可以对反应器内的每个独立部分提供温度控制,例如反应器壁、反应器顶盖和衬底基座。通常,反应器顶盖可由石英形成。在一个实施例中,冷壁反应器可将反应器壁保持在小于约400℃的温度、优选小于约200℃、更优选小于约150℃,将反应器顶盖保持在约300-800℃的温度、优选约400-700℃、更优选约500-600℃,并将衬底基座保持在约300-800℃的温度、优选约500-700℃、更优选约550-650℃。
在慢蚀刻工艺使用的蚀刻气体(步骤120)包含蚀刻剂、硅源和可选的载气。可将蚀刻剂、硅源和载气预混合并共同流入或独立流入处理室。在一个方面,蚀刻剂和载气要么共同流动要么作为气体混合物合并在一起,硅源和载气要么共同流动要么作为气体混合物合并在一起,并且这两种气体混合物可以在进入处理室之前一起共同流动。例如,氯和氮的气体混合物可以与硅烷和氮的混合物共同流入处理室。在另一个实施例中,氯和氮的气体混合物可以与硅烷和氢的混合物共同流入处理室。
优选地,蚀刻剂是氯气(Cl2)。在一个实施例中,已发现,作为含硅材料的蚀刻剂,氯气在低于使用更常见的蚀刻剂(例如氯化氢)的工艺的温度下的效果出乎意料的好。因此,采用氯气的蚀刻工艺可以在更低的工艺温度下进行。可以与蚀刻剂同时提供硅源,从而抑制在衬底200上容易产生的过度蚀刻。硅源用于在含硅层上沉积硅,而蚀刻剂去除含硅材料。调节蚀刻剂和硅源暴露于衬底的速率,以使总反应有利于材料去除和/或材料再分布。因此,总反应去除或再分布含硅材料,并且可将蚀刻速率精确控制在数埃每分钟。
向处理室中添加蚀刻剂的速率通常为约1标准立方厘米每分钟(sccm)-约1标准升每分钟(slm),优选约5-150sccm,更优选约10-30sccm,例如约20sccm。尽管氯是优选的蚀刻剂,但可以单独或组合使用的其它蚀刻剂包括三氟化氯(ClF3)、四氯硅烷(SiCl4)或其衍生物。
向慢蚀刻工艺的处理室中提供的硅源的速率通常为约5-500sccm,优选约10-100sccm,更优选约20-80sccm,例如约50sccm。可用于蚀刻的硅源包括硅烷、卤化硅烷、有机硅烷或其衍生物。硅烷包括硅烷(SiH4)和具有经验式SixH(2x+2)的更高级硅烷,例如二硅烷(Si2H6)、三硅烷(Si3H8)和四硅烷(Si4H10)以及其它。卤化硅烷包括具有经验式X’ySixH(2x+2-y)的化合物,其中X’独立地选自F、Cl、Br或I,例如六氯二硅烷(Si2Cl6)、四氯硅烷(SiCl4)、三氯硅烷(Cl3SiH)、二氯硅烷(Cl2SiH2)和氯硅烷(ClSiH3)。有机硅烷包括具有经验式RySixH(2x+2-y)的化合物,其中R独立地选自甲基、乙基、丙基或丁基,例如甲基硅烷((CH3)SiH3)、二甲基硅烷((CH3)2SiH2)、乙基硅烷((CH3CH2)SiH3)、甲基二硅烷((CH3)Si2H5)、二甲基二硅烷((CH3)2Si2H4)和六甲基二硅烷((CH3)6Si2)。优选的硅源可以包括硅烷、二氯硅烷或二硅烷。
向处理室中提供的载气的流率通常为约1-100slm,优选约5-80slm,更优选约10-40slm,例如约20slm。载气可以包括氮(N2)、氢(H2)、氩、氦或其组合。在一种实施方式中,惰性载气是优选的,并包括氮、氩、氦或其组合。可以基于所用的前驱体和/或步骤120的慢蚀刻工艺的工艺温度来选择载气。
优选地,在特征为低温(例如,<800℃)工艺的实施方式中使用氮作为载气。低温工艺容易受到影响,部分原因是在蚀刻工艺中使用氯气。氮在低温蚀刻工艺中保持惰性。因此,氮在低温工艺期间并不结合到衬底上的含硅材料中。而且,氮载气不像氢载气那样形成氢末端表面。通过在衬底表面上吸附氢载气而形成的氢末端表面抑制后续沉积的含硅层的生长速率。最后,低温工艺可以利用氮作为载气的经济性,因为氮的成本远低于氢、氩或氦。在蚀刻气体的一个实施例中,氯是蚀刻剂,硅烷是硅源,氮是载气。
可将衬底200和表面210暴露于慢蚀刻气体,以在步骤120中去除预定厚度220的含硅材料205(图2A-2B)。在去除预定厚度220期间蚀刻表面210。将慢蚀刻气体暴露于衬底200约5秒-约5分钟的时间,优选约30秒-约2分钟。相对于具体工艺中所用的蚀刻速率来调节时间长度。慢蚀刻工艺的蚀刻速率通常小于约100/min,优选小于约50/min。在一种实施方式中,慢蚀刻速率为约2-20/min,优选约5-15/min,例如约10/min。在另一种实施方式中,蚀刻速率为约2/min或更小,优选约1/min或更小,最优选地,接近材料在衬底上再分布,以使净去除速率相对于层的厚度不可测量。随着蚀刻工艺减慢至再分布反应,可将含硅层205的材料从峰216去除并添加至表面210内的谷214中,以形成暴露表面230。谷214可用从峰216得到的材料和/或通过在慢蚀刻气体中引入前驱体(例如,硅源)所生成的纯净材料来填充。
可以采用慢蚀刻工艺来减小衬底200上的表面粗糙度。在一个实施例中,可将表面粗糙度为约6nm均方根(RMS)或更大的表面210暴露于慢蚀刻气体,以从含硅层205去除预定厚度220的材料而露出暴露表面230。暴露表面230的表面粗糙度可为约1nmRMS或更小,优选约0.1nmRMS或更小,更优选约0.07nmRMS。在工艺100期间将先前位于衬底上或其中的污染物212和粗糙区域218去除。暴露表面230通常不含或基本不含污染物,所述污染物包括有机残余物、碳、氧化物、氮化物、卤化物(例如,氟化物或氯化物)或其组合。
可以在步骤125中(图1)在处理室内进行可选的净化工艺。净化工艺有利于从衬底200去除残余的蚀刻气体,进而强化后续沉积工艺(步骤130)中的生长。在低压净化工艺期间,处理室的内部压力可为约0.1mTorr-约100Torr,优选约1.0mTorr-约10Torr,更优选约10.0mTorr-约1Torr。净化工艺的进行时间可为约30秒-约10分钟,优选约1-5分钟,更优选约2-4分钟。通常,可以关闭进入处理室的所有气体。然而,在一个替代性方面,净化气体可以在净化工艺中的任何时间提供。净化气体可以包括氮、氢、氩、氦、合成气体或其组合。
层240可以在步骤130中沉积在暴露表面230上。优选地,层240是可以通过化学气相沉积(CVD)工艺选择性地且外延地生长或沉积在暴露表面230上的含硅材料。本文所述的化学气相沉积工艺包括许多技术,例如原子层外延生长(ALE)、原子层沉积(ALD)、等离子体辅助CVD(PA-CVD)或等离子体增强CVD(PE-CVD)、等离子体辅助ALD(PA-ALD)或等离子体增强ALD(PE-ALD)、原子层CVD(ALCVD)、有机金属或金属有机CVD(MOCVD或OMCVD)、激光辅助CVD(LA-CVD)、紫外线CVD(UV-CVD)、热线CVD(HWCVD)、减压CVD(RP-CVD)、超高真空CVD(UHV-CVD)、其衍生物或其组合。在一个实施例中,优选的工艺利用热CVD在暴露表面230上外延生长或沉积作为层240的含硅化合物。步骤130中使用的沉积气体也可包含至少一种第二元素源,例如锗源和/或碳源。锗源可以与硅源、蚀刻剂和载气一起添加至处理室,以形成含硅化合物。因此,含硅化合物可以包含硅、SiGe、SiC、SiGeC、其掺杂物或其组合。通过在沉积工艺中引入锗源(例如,锗烷)或碳源(例如,甲基硅烷),可以将锗和/或碳添加至含硅材料。通过在沉积工艺期间或之后引入硼源(例如,二硼烷)、砷源(例如,胂)或磷源(例如,磷化氢),含硅材料化合物还可以包含掺杂物。掺杂物可以包含在硅源、蚀刻剂和载气中,以形成含硅化合物。或者,通过将衬底暴露于离子注入工艺,可以将掺杂物添加至含砖材料。
在另一个实施例中,可以使用被称为交替气体供给(AGS)的CVD工艺在暴露表面230上外延生长或沉积作为层240的含硅化合物。AGS沉积工艺包括将硅源和蚀刻剂交替暴露于衬底表面的循环。AGS沉积工艺进一步公开在共同转让和共同待审的USNo.11/001774中,该申请于2004年12月1日提交,名称为“SelectiveEpitaxyProcesswithAlternatingGasSupply”,出于描述AGS工艺的目的,通过引用将其全文结合于此。
可以使用工艺100在相同的处理室中蚀刻和沉积含硅材料。优选地,为了提高处理量、提高效率、降低污染的可能性以及有利于工艺配合(例如,共用化学前驱体),慢蚀刻工艺(步骤120)和后续沉积工艺(步骤130)在相同的处理室中进行。在一个方面,慢蚀刻工艺和沉积工艺均采用相同的硅源、相同的蚀刻剂和相同的载气。例如,慢蚀刻工艺的蚀刻气体可以包含硅烷、氯和氮,而选择性外延沉积工艺的沉积气体也可包含硅烷、氯和氮。在整个工艺期间,可以调节硅源与还原剂的浓度比来促进具体步骤。在一个实施例中,提高硅源与还原剂的浓度比以促进沉积步骤。在另一个实施例中,降低硅源与还原剂的浓度比以促进蚀刻步骤。
快蚀刻工艺
在另一种实施方式中,可以使用快蚀刻工艺(例如,>100/min)从衬底表面选择性地去除含硅材料。快蚀刻工艺是去除含硅材料而不损坏阻挡材料的选择性蚀刻工艺。阻挡材料可以包括用作间隔片、覆盖层和掩膜材料的氮化硅、氧化硅和氧氮化硅。
图3示出了工艺300的流程图,该工艺始于在步骤310中将衬底定位在处理室中并调节工艺参数。可将衬底和处理室完全或其一部分加热至约400-800℃的温度,优选约500-700℃,更优选约550-650℃。将处理室的压力保持在约1mTorr-760Torr,优选约0.1-500Torr,更优选约1-100Torr。
快蚀刻工艺中所用的蚀刻气体包含蚀刻剂、载气和可选的硅源(步骤320)。在一个实施例中,蚀刻气体包含氯、氮和硅烷。向处理室中提供蚀刻剂的速率可为约1-100sccm,优选约5-50sccm,更优选约10-30sccm,例如约20sccm。尽管氯是快蚀刻工艺中的优选蚀刻剂,但可以单独或组合使用的其它蚀刻剂包括三氟化氯、四氯硅烷或其衍生物。
向处理室中提供载气的流率通常为约1-100slm,优选约5-80slm,更优选约10-40slm,例如约20slm。载气可以包括氮、氢、氩、氦或其组合。在一种实施方式中,惰性载气是优选的,并包括氮、氩、氦或其组合。可以基于所用的前驱体和/或步骤320的蚀刻工艺中工艺温度来选择载气。优选地,在特征为低温(例如,<800℃)工艺的实施方式中使用氮作为载气。在一个实施例中,第一蚀刻工艺的蚀刻气体包含氯和氮。
在某些实施方式中,可在蚀刻气体中可选地加入硅源,用于对快蚀刻工艺中的去除速率提供额外的控制。送入处理室的硅源的速率可为约5-500sccm,优选约10-100sccm,更优选约20-80sccm,例如约50sccm。蚀刻气体可以包含本文所述的硅源,例如硅烷、卤化硅烷、有机硅烷或其衍生物。
衬底400包含至少一个膜叠层特征(filmstackfeature)410(图4A)。含硅层405可以是掺杂或未掺杂的裸硅衬底或包括位于其上的含硅层。膜叠层特征410包括被间隔片416和保护性覆盖层418包围的栅极氧化物层414上的栅极层412。通常,栅极层412由多晶硅构成,并且栅极氧化物层414由二氧化硅、氧氮化硅和氧化铪构成。部分围绕栅极氧化物层414的是间隔片416,间隔片416通常为包含氧化硅、氮化硅、氧氮化硅、其衍生物或其组合的绝缘材料。在一个实施例中,间隔片416为氮化物/氧化物叠层(例如,Si3N4/SiO2/Si3N4)。可选地,栅极层412可以具有粘附于其上的保护性覆盖层418。
在步骤320中,将衬底400暴露于蚀刻气体,以去除预定厚度425的含硅层405并形成凹槽430,如图4B所示。蚀刻气体暴露于衬底400的时间为约10秒-约5分钟,优选约1-3分钟。相对于具体工艺中所用的蚀刻速率来调节时间长度。快蚀刻工艺的蚀刻速率通常大于约100/min,优选大于约200/min,例如约200-1500/min,优选约200-1000/min,例如约600/min。
在一个实施例中,蚀刻工艺可以保持快速率以去除预定厚度425,然后降至慢速率工艺以平滑剩余的表面。可以通过工艺100所描述的慢蚀刻工艺来控制降低的蚀刻速率。
可以在步骤325中在处理室内进行可选的净化工艺。净化步骤有利于从衬底400去除残余的蚀刻气体,进而强化后续沉积工艺(步骤330)中的生长。在低压净化工艺期间,处理室的内部压力可为约0.1mTorr-约100Torr,优选约1.0mTorr-约10Torr,更优选约10.0mTorr-约1Torr。净化工艺的进行时间可为约30秒-约10分钟,优选约1-5分钟,更优选约2-4分钟。通常,可以关闭进入处理室的所用气体。然而,在一个替代性方面,净化气体可以在净化工艺中的任何时间提供。
一旦预定厚度425的衬底400被去除,则可以在步骤330中沉积层440(图4C)。优选地,层440是可以通过CVD工艺选择性地和外延地沉积在凹槽430的暴露表面上的含硅材料。在一个实施例中,CVD工艺包括AGS沉积技术。或者,可在沉积层440之前将凹槽430暴露于另一个制造工艺,例如掺杂工艺。掺杂工艺的一个实例包括离子注入,其中掺杂物(例如,硼、磷和砷)可被注入凹槽430的表面。
以使用工艺300在相同的处理室中蚀刻和沉积含硅材料。优选地,为了提高吞吐量、提高效率、降低污染的可能性以及有利于工艺整合(例如,共用化学前驱体),快蚀刻工艺和后续沉积工艺在相同的处理室中进行。在一个实施例中,含硅化合物的快蚀刻工艺和选择性外延沉积工艺均使用氯作为蚀刻剂并用氮作为载气。
图5示出了本发明的另一种实施方式,在工艺500中,包括在完成制造技术之后清洁处理室。可将衬底暴露于预处理工艺,该预处理工艺包括湿法清洁工艺、最后HF工艺、等离子体清洁、酸洗涤工艺或其组合(步骤510)。在预处理工艺之后并且在本文所述的蚀刻工艺开始之前,衬底不得不在处理室的受控环境外部停留被称为排队时间(Q时间)的一段时间。在周围环境中,Q时间可以持续约2小时或更长,通常,Q时间持续更长,例如约6-24小时或更长(如约36小时)的预定时间。在Q时间期间,由于衬底暴露于环境中的水和氧,常常在衬底表面上形成氧化硅层。
在步骤520,将衬底定位在处理室中,并暴露于本文所述的蚀刻工艺。蚀刻工艺可以是在步骤120中所述的慢蚀刻工艺、在步骤320中所述的快蚀刻工艺或两者。蚀刻工艺从衬底去除预定厚度的含硅层,以形成暴露含硅层。然后,在处理室中进行可选的净化工艺(步骤525)。然后,将第二材料沉积在暴露含硅层上(步骤530)。通常,第二材料在选择性外延沉积的含硅化合物中。沉积工艺可以包括在步骤130和330中描述的工艺。在一种实施方式中,在步骤520和530中可以使用工艺100和300。
在处理室内部进行室清洁工艺以去除其中的各种污染物(步骤540)。蚀刻工艺和沉积工艺可以在处理室内的表面上形成沉积物或污染物。通常,沉积物包括粘附在处理室的壁面和其它内表面上的含硅材料。因此,可以使用室清洁工艺以去除污染物,而不损坏处理室的内表面。
在工艺500的实施例中,首先将衬底暴露于最后HF工艺。将衬底置于处理室中,并暴露于约600℃的包含氯和氮的蚀刻工艺。然后,将处理室暴露于净化工艺。随后,在相同的处理室中,通过使用约625℃的氯和氮的沉积工艺,将含硅层外延沉积在衬底上。然后,移出衬底,并将处理室加热至约675℃,并暴露于包含氯和氮的清洁气体。优选地,蚀刻剂和载气与步骤520和540中所用的气体相同。
室清洁工艺
在另一种实施方式中,可以在室清洁工艺(例如,步骤540)中使用包含硅源的室清洁气体从处理室内部去除各种污染物。处理室的内表面通常包含会在传统蚀刻剂清洁工艺中被损坏的含硅材料(例如,石英)。因此,除了蚀刻剂和载气之外,室清洁气体还可包含硅源,以抑制由蚀刻剂导致的过度蚀刻。
处理室可包含内表面或具有易受蚀刻剂化学损害的表面的部件。而且,处理室中的内表面或部件可具有易受蚀刻剂损害的保护性涂层。通常,处理室中的内表面可包含含硅表面,例如石英、氧化硅,碳化硅、碳化硅涂覆的石墨、蓝宝石、硅化物涂层、其衍生物或其组合。在其它实施例中,内表面是处理室内的含金属表面,例如钢、不锈钢、铁、镍、铬、铝、其合金或其组合。内表面可以存在于处理室的内部壁面、底面和室的盖及其内部部件或部分,例如基座、里衬、上顶盖、下顶盖、预热环、喷淋头、分布板、探针等。
清洁工艺包括将衬底基座加热至约600-1200℃、优选约650-1000℃、更优选约799-900℃、例如约800℃的温度。处理室的内部压力可为约1mTorr-760Torr,优选约100mTorr-约750Torr,更优选约100-700Torr,例如600Torr。在一个实施例中,使用冷壁反应器作为处理室,并将反应器壁保持在小于约400℃、优选小于约200℃、更优选小于约150℃的温度,并将石英反应器顶盖保持在约300-800℃、优选约400-700℃、更优选为500-600℃的温度。
进行清洁工艺的时间为约30秒-约10分钟,优选约1-5分钟,更优选约2-4分钟。室清洁气体可以包含蚀刻剂、硅源和载气。优选地,室清洁工艺中所用的蚀刻剂、硅源和载气与前面制造步骤(例如,慢蚀刻工艺或快蚀刻工艺)中所用的气体相同。在室清洁工艺中,向处理室中提供的蚀刻剂的速率可为约10sccm-约100slm,优选约100sccm-约5slm。在一个实施例中,蚀刻剂的流率为约5slm,优选约10slm,更优选约20slm。在另一个实施例中,蚀刻剂的流率为约50sccm,优选约130sccm,更优选约1000sccm。可用在清洁气体中的蚀刻剂包括氯、三氟化氯、四氯硅烷、六氯二硅烷或其组合。
在室清洁工艺中,向处理室中提供的硅源的速率通常为约10sccm-约100slm,优选约100sccm-约5slm。在一个实施例中,硅源的流率为约5slm,优选约10slm,更优选约20slm。在另一个实施例中,硅源的流率为约50sccm,优选约130sccm,更优选约1000sccm。可用于蚀刻的硅源包括硅烷、卤化硅烷、有机硅烷或其衍生物。硅烷包括硅烷(SiH4)和具有经验式SixH(2x+2)的更高级硅烷,例如二硅烷(Si2H6)、三硅烷(Si3H8)和四硅烷(Si4H10)以及其它。卤化硅烷包括具有经验式X’ySixH(2x+2-y)的化合物,其中X’独立地选自F、Cl、Br或I,例如六氯二硅烷(Si2Cl6)、四氯硅烷(SiCl4)、三氯硅烷(Cl3SiH)、二氯硅烷(Cl2SiH2)和氯砖烷(ClSiH3)。有机硅烷包括具有经验式RySixH(2x+2-y)的化合物,其中R独立地选自甲基、乙基、丙基或丁基,例如甲基硅烷((CH3)SiH3)、二甲基硅烷((CH3)2SiH2)、乙基硅烷((CH3CH2)SiH3)、甲基二硅烷((CH3)Si2H5)、二甲基二硅烷((CH3)2Si2H4)和六甲基二硅烷((CH3)6Si2)。优选的硅源可以包括硅烷、二氯硅烷或二硅烷。
在室清洁工艺中,向处理室提供的载气的流率可为约100sccm-约100slm。在一个实施例中,载气的流率为约20slm,优选约50slm,更优选约100slm。在另一个实施例中,载气的流率为约100sccm,优选约1slm,更优选约10slm。载气可以包括氮、氢、合成气体、氩、氦或其组合。在一个优选实施例中,室清洁气体包含氯气、硅烷和载气(例如,氮)。可用于本文所述的本发明的实施方式的室清洁工艺进一步公开在共同转让的美国专利No.6042654中,通过引用将其整体结合于此。室清洁工艺可以在处理完每个单独的衬底之后或处理完多个衬底之后重复进行。在一个实施例中,室清洁工艺在每处理25个衬底之后进行。在另一个实施例中,室清洁工艺在每处理5个衬底之后进行。在另一个实施例中,室清洁工艺在每处理100个衬底之后进行。尽管衬底在室清洁工艺中可以保留在处理室中,但优选将衬底移出,并且所述工艺对空处理室进行。
这里描述的实施方式所提供的工艺可以用于:金属氧化物半导体场效应晶体管(MOSFET)和双极晶体管的制造工艺,例如Bipolar器件制造(例如,基极、发射极、集电极和发射极触点)、BiCMOS器件制造(例如,基极、发射极、集电极和发射极触点)和CMOS器件制造(例如,沟道、源极/漏极、源极/漏极扩展、抬高的源极/漏极、衬底、应变硅、绝缘体上硅和触点插塞)。其它实施方式提供的工艺可用于栅极制造工艺、基极触点制造工艺、集电极触点制造工艺、发射极触点制造工艺或抬高的源极/漏极制造工艺。
本发明的工艺可以在用于ALE、CVD和ALD工艺的制造设备上进行。可用于如本文所述蚀刻或沉积含硅膜的系统包括EpiCentura系统或PolyGen系统,两者均可从美国加州SantaClara的应用材料公司获得。可用于如本文所述蚀刻和沉积的处理室进一步公开在共同转让的美国专利No.6562720中,为了描述装置的目的,通过引用将其整体结合于此。其它可用装置包括批处理炉和高温炉。
实施例
在可从美国加州SantaClara的应用材料公司获得的EpiCentura系统中,对300mm的硅晶片进行以下理想实施例。
实施例1:不含硅烷的对比预清洁工艺
将衬底暴露于最后HF工艺,以形成氟化物末端表面。将衬底置于处理室中,并加热至约600℃,同时将压力保持在约20Torr。将衬底暴露于蚀刻气体,该蚀刻气体包含流率为约20slm的N2和流率为约120sccm的Cl2。以约500/min的速率蚀刻表面。
实施例2:含有硅烷的预清洁工艺
将衬底暴露于最后HF工艺,以形成氟化物末端表面。将衬底置于处理室中,并加热至约600℃,同时将压力保持在约20Torr。将衬底暴露于蚀刻气体,该蚀刻气体包含流率为约20slm的N2、流率为约20sccm的Cl2和流率为约50sccm的SiH4。以约10/min的速率蚀刻表面。因此,例如实施例2中的硅烷的硅源的添加使含硅层的蚀刻速率与实施例1中的蚀刻速率相比降低了约50倍。
实施例3:不含硅烷的对比平滑工艺
将包含含硅层的衬底表面解理形成粗糙度为约5.5nmRMS的表面。将衬底置于处理室中,并加热至约650℃,同时将压力保持在约20Torr。将衬底暴露于蚀刻气体,该蚀刻气体包含流率为约20slm的N2和流率为约20sccm的Cl2。以约200/min的速率蚀刻表面。
实施例4:含有硅烷的平滑工艺
将包含含硅层的衬底表面解理形成粗糙度为约5.5nmRMS的表面。将衬底置于处理室中,并加热至约650℃,同时将压力保持在约20Torr。将衬底暴露于蚀刻气体,该蚀刻气体包含流率为约20slm的N2、流率为约20sccm的Cl2和流率为约50sccm的SiH4。以约20/min的速率蚀刻表面。表面粗糙度降至约0.1nmRMS。因此,例如实施例4中的硅烷的硅源的添加使含硅层的蚀刻速率与实施例3中的蚀刻速率相比降低了约10倍。
实施例5:氯蚀刻工艺及其后的硅外延生长
硅衬底包含一系列氮化硅里衬特征,这些里衬特征高度约90nm、宽度约100nm且相距约150nm,裸露硅表面。将衬底置于处理室中,并加热至约600℃,同时将压力保持在约40Torr。将衬底暴露于蚀刻气体,该蚀刻气体包含流率为约20slm的N2和流率为约80sccm的Cl2。以约750/min的速率蚀刻表面。约30秒后,蚀刻了约35nm的硅表面。氮化硅特征对蚀刻工艺保持惰性。将压力升至约200Torr,并以约50sccm的流率向蚀刻气体添加SiH4。将蚀刻速率降至约18/min以平滑刚刚蚀刻的硅表面。约1分钟后,通过将SiH4的流率升至约100sccm并保持N2和Cl2的流率不变,将光滑表面暴露于选择性外延沉积工艺。以约25/min的速率将含硅材料沉积在硅表面上。
实施例6:含有硅烷的快速氯蚀刻工艺
硅衬底包含一系列氮化硅里衬特征,这些里衬特征高度约90nm、宽度约100nm且相距约150nm,裸露硅表面。将衬底置于处理室中,并加热至约600℃,同时将压力保持在约40Torr。将衬底暴露于蚀刻气体,该蚀刻气体包含流率为约20slm的N2、流率为约80sccm的Cl2和流率为约40sccm的SiH4。以约400/min的速率蚀刻表面。
实施例7:含有氯和硅烷的室清洁工艺
在硅外延沉积工艺之后,将衬底从处理室移出。将处理室加热至约800℃,同时将压力调节至约600Torr。将处理室暴露于蚀刻气体,该蚀刻气体包含流率为约20slm的N2、流率为约2slm的Cl2和流率为约1slm的SiH4。室清洁工艺进行约2分钟。
虽然上述针对本发明的实施方式,但是在不脱离本发明的基本范围的前提下,可以设计本发明的其它和进一步的实施方式,而且本发明的范围由权利要求确定。

Claims (20)

1.一种在衬底表面上蚀刻含硅材料的方法,包括:
将包括含有污染物的含硅材料的衬底定位在处理室中;
将所述含硅材料暴露于由氯气、硅源和载气组成的蚀刻气体;和
去除所述污染物和预定厚度的所述含硅材料,其中将所述处理室保持在550℃至650℃的温度下。
2.如权利要求l的方法,其中,所述含硅材料以的速率被去除。
3.如权利要求2的方法,其中,所述载气选自由下述所组成的组:氮、氩、氦及其组合。
4.如权利要求3的方法,其中,所述硅源选自由下述所组成的组:硅烷、二硅烷、二氯硅烷、四氯硅烷、六氯二硅烷、其衍生物及其组合。
5.如权利要求4的方法,其中,所述载气为氮,并且所述硅源为硅烷。
6.如权利要求2的方法,其中,在去除所述污染物和所述预定厚度的所述含硅材料之后,在所述处理室中进行外延沉积工艺以沉积外延层。
7.如权利要求6的方法,其中,所述污染物选自由下述所组成的组:氧化物、氟化物、氯化物、氮化物、有机残余物、碳、其衍生物及其组合。
8.如权利要求7的方法,其中,在定位在所述处理室中之前,将所述衬底暴露于湿法清洁工艺。
9.如权利要求8的方法,其中,在所述湿法清洁工艺之后和定位在所述处理室中之前,将所述衬底暴露于环境条件6-24小时的时间,所述条件包含大气压和室温下的氧和水。
10.如权利要求7的方法,其中,所述含硅材料还包括在去除所述污染物和所述预定厚度的所述含硅材料中被去除的粗糙表面。
11.如权利要求l的方法,其中,所述衬底包含含硅材料,在被定位于所述处理室之前,所述含硅材料的第一表面粗糙度为约1nm均方根或更大。
12.如权利要求1的方法,其中,在从所述衬底表面去除所述污染物和预定厚度的所述含硅材料后,所述含硅材料的表面粗糙度小于约1nm均方根。
13.如权利要求1的方法,其中,所述含硅材料是含硅单晶材料。
14.如权利要求1的方法,其中,将所述处理室保持在10-750Torr的压力下。
15.如权利要求6的方法,其中,所述外延层包括选自由下述所组成的组:硅、硅锗、硅碳、硅锗碳、其衍生物及其组合的材料。
16.如权利要求1的方法,其中,所述预定厚度的所述含硅材料的去除在所述衬底的源极/漏极区域内形成凹槽。
17.如权利要求16的方法,其中,所述源极/漏极区域用在选自CMOS、Bipolar或BiCMOS应用的器件中。
18.如权利要求1的方法,还包括:
在将所述衬底定位于所述处理室之前,将所述衬底暴露于最后HF湿清洁工艺。
19.如权利要求6的方法,其中,所述外延层通过包含所述氯气的沉积气体来沉积。
20.如权利要求1的方法,其中,去除所述污染物和所述预定厚度的所述含硅材料还包括:
在所述衬底上的源极和漏极区域中形成凹槽。
CN200680010817.0A 2005-01-31 2006-01-27 衬底表面和室表面的蚀刻剂处理工艺 Expired - Fee Related CN101155648B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/047,323 2005-01-31
US11/047,323 US7235492B2 (en) 2005-01-31 2005-01-31 Low temperature etchant for treatment of silicon-containing surfaces
US11/242,613 2005-10-03
US11/242,613 US8093154B2 (en) 2005-01-31 2005-10-03 Etchant treatment processes for substrate surfaces and chamber surfaces
PCT/US2006/002841 WO2006083693A2 (en) 2005-01-31 2006-01-27 Etchant treatment processes for substrate surfaces and chamber surfaces

Publications (2)

Publication Number Publication Date
CN101155648A CN101155648A (zh) 2008-04-02
CN101155648B true CN101155648B (zh) 2016-08-03

Family

ID=36755391

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680010817.0A Expired - Fee Related CN101155648B (zh) 2005-01-31 2006-01-27 衬底表面和室表面的蚀刻剂处理工艺

Country Status (4)

Country Link
US (5) US7235492B2 (zh)
EP (2) EP2023376A3 (zh)
JP (1) JP5329094B2 (zh)
CN (1) CN101155648B (zh)

Families Citing this family (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
KR100607409B1 (ko) * 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7293569B2 (en) * 2005-12-13 2007-11-13 Air Liquide Electronics U.S. Lp Alkylsilanes as solvents for low vapor pressure precursors
KR20080089403A (ko) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7951616B2 (en) * 2006-03-28 2011-05-31 Lam Research Corporation Process for wafer temperature verification in etch tools
US8206996B2 (en) * 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
JP5032059B2 (ja) * 2006-05-11 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、及び基板処理装置
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
JP5090451B2 (ja) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド 炭素含有シリコンエピタキシャル層の形成方法
US8116807B2 (en) * 2007-01-07 2012-02-14 Apple Inc. Airplane mode indicator on a portable multifunction device
US7557010B2 (en) * 2007-02-12 2009-07-07 Agere Systems Inc. Method to improve writer leakage in a SiGe bipolar device
JP4828456B2 (ja) * 2007-03-08 2011-11-30 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR101440282B1 (ko) * 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7994066B1 (en) * 2007-10-13 2011-08-09 Luxtera, Inc. Si surface cleaning for semiconductor circuits
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8518282B2 (en) * 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7989329B2 (en) * 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102009010883B4 (de) * 2009-02-27 2011-05-26 Amd Fab 36 Limited Liability Company & Co. Kg Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011033752A1 (ja) * 2009-09-17 2011-03-24 株式会社Sumco エピタキシャルウェーハの製造方法および製造装置
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
EP2569802B1 (en) * 2010-05-11 2017-07-12 Ultra High Vaccum Solutions Ltd. T/a Nines Engineering Method to control surface texture modification of silicon wafers for photovoltaic cell devices
US8658540B2 (en) 2010-06-09 2014-02-25 Applied Materials, Inc. Methods for low temperature conditioning of process chambers
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9293353B2 (en) 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013055231A (ja) * 2011-09-05 2013-03-21 Shin Etsu Handotai Co Ltd エピタキシャルウェーハの製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9044793B2 (en) * 2011-11-22 2015-06-02 Semiconductor Energy Laboratory Co., Ltd. Method for cleaning film formation apparatus and method for manufacturing semiconductor device
US9165768B2 (en) 2011-12-16 2015-10-20 Lg Innotek Co., Ltd. Method for deposition of silicon carbide and silicon carbide epitaxial wafer
US8883598B2 (en) * 2012-03-05 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Thin capped channel layers of semiconductor devices and methods of forming the same
US20150140726A1 (en) * 2012-07-10 2015-05-21 Sharp Kabushiki Kaisha Method for manufacturing semiconductor device
CN102800679B (zh) * 2012-08-22 2016-08-17 上海华虹宏力半导体制造有限公司 闪存的存储单元的形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
DE102012108250A1 (de) * 2012-09-05 2014-03-06 Spawnt Private S.À.R.L. Verfahren zur Abscheidung von Siliciumschichten
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
WO2014051909A1 (en) * 2012-09-25 2014-04-03 Applied Materials, Inc. Chamber clean with in gas heating source
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9099421B2 (en) * 2012-10-31 2015-08-04 Taiwan Semiconductor Manufacturing Company Limited Surface profile for semiconductor region
US20140179110A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Methods and apparatus for processing germanium containing material, a iii-v compound containing material, or a ii-vi compound containing material disposed on a substrate using a hot wire source
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
JP2014189442A (ja) * 2013-03-27 2014-10-06 Sumitomo Electric Ind Ltd 炭化珪素半導体基板の製造方法
US9029267B2 (en) * 2013-05-16 2015-05-12 Lam Research Corporation Controlling temperature of a faraday shield
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
WO2015038309A1 (en) 2013-09-16 2015-03-19 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104810241B (zh) * 2014-01-24 2018-06-08 上海矽睿科技有限公司 一种金属层上含钽薄膜的刻蚀方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9653282B2 (en) * 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015205719B4 (de) 2015-03-30 2022-08-18 Siltronic Ag Verfahren zum Beschichten von Halbleiterscheiben
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
JP6573514B2 (ja) * 2015-09-17 2019-09-11 昭和電工株式会社 SiC単結晶基板の前処理方法及びエピタキシャルSiCウェハの製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
DE112016005550T5 (de) 2015-12-04 2018-08-16 Applied Materials, Inc. Verfahren und Lösungen zur Reinigung von Ingaas (Oder III-V) Substraten
JP6594768B2 (ja) * 2015-12-25 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10177002B2 (en) * 2016-04-29 2019-01-08 Applied Materials, Inc. Methods for chemical etching of silicon
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102321839B1 (ko) * 2016-05-09 2021-11-05 어플라이드 머티어리얼스, 인코포레이티드 트랜지스터의 소스/드레인 영역 상의 에피택셜 필름에 대한 선택적 식각 방법
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10497578B2 (en) * 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
WO2018111859A1 (en) 2016-12-12 2018-06-21 Applied Materials, Inc. Method of forming conformal epitaxial semiconductor cladding material over a fin field effect transistor (finfet) device
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6772820B2 (ja) * 2016-12-22 2020-10-21 日亜化学工業株式会社 再生基板の製造方法及び発光素子の製造方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP6986156B2 (ja) * 2018-07-06 2021-12-22 富士フイルム株式会社 部材、容器、薬液収容体、反応槽、蒸留塔、フィルタユニット、貯留タンク、管路、薬液の製造方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US20200135489A1 (en) * 2018-10-31 2020-04-30 Atomera Incorporated Method for making a semiconductor device including a superlattice having nitrogen diffused therein
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI730419B (zh) * 2019-09-20 2021-06-11 力晶積成電子製造股份有限公司 鋁層的蝕刻後保護方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4663831A (en) * 1985-10-08 1987-05-12 Motorola, Inc. Method of forming transistors with poly-sidewall contacts utilizing deposition of polycrystalline and insulating layers combined with selective etching and oxidation of said layers
JPS635531A (ja) * 1986-06-25 1988-01-11 Nec Corp Si表面清浄化・平坦化方法及びその装置
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) * 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPH01319944A (ja) * 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
JPH03265137A (ja) * 1990-03-15 1991-11-26 Fujitsu Ltd 半導体基板のドライ洗浄方法
JP2880322B2 (ja) 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
JPH05217921A (ja) 1991-09-13 1993-08-27 Motorola Inc 材料膜のエピタキシアル成長を行うための温度制御された処理
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) * 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP2889768B2 (ja) * 1992-09-10 1999-05-10 松下電器産業株式会社 3−チエニル基含有ケイ素化合物及びその製造方法
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
JPH0864559A (ja) * 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
JP3398904B2 (ja) * 1995-10-31 2003-04-21 富士通株式会社 基板表面の乾式洗浄方法
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6159862A (en) 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6159852A (en) * 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
JP2002505532A (ja) 1998-03-06 2002-02-19 エーエスエム アメリカ インコーポレイテッド 高段差被覆性を伴うシリコン堆積方法
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6037235A (en) 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6171965B1 (en) * 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6645838B1 (en) 2000-04-10 2003-11-11 Ultratech Stepper, Inc. Selective absorption process for forming an activated doped region in a semiconductor
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6713177B2 (en) * 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6514886B1 (en) * 2000-09-22 2003-02-04 Newport Fab, Llc Method for elimination of contaminants prior to epitaxy
EP1772534A3 (en) 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
EP1677361A2 (en) 2001-04-02 2006-07-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR20080103609A (ko) 2001-05-30 2008-11-27 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6777317B2 (en) 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6858537B2 (en) * 2001-09-11 2005-02-22 Hrl Laboratories, Llc Process for smoothing a rough surface on a substrate by dry etching
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
WO2003107382A2 (en) * 2002-06-12 2003-12-24 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
TW569320B (en) * 2002-08-14 2004-01-01 Macronix Int Co Ltd Method for defining a dummy pattern around alignment mark on a wafer
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6875678B2 (en) * 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6774040B2 (en) * 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6897131B2 (en) * 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
US20040226911A1 (en) * 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060060920A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor

Also Published As

Publication number Publication date
US8445389B2 (en) 2013-05-21
EP2023377A2 (en) 2009-02-11
JP5329094B2 (ja) 2013-10-30
EP2023376A2 (en) 2009-02-11
US20070224830A1 (en) 2007-09-27
US20060169669A1 (en) 2006-08-03
US8093154B2 (en) 2012-01-10
US20120070961A1 (en) 2012-03-22
CN101155648A (zh) 2008-04-02
US20060169668A1 (en) 2006-08-03
US7235492B2 (en) 2007-06-26
US20120108039A1 (en) 2012-05-03
US8492284B2 (en) 2013-07-23
EP2023376A3 (en) 2010-03-17
EP2023377A3 (en) 2010-03-17
JP2008529306A (ja) 2008-07-31

Similar Documents

Publication Publication Date Title
CN101155648B (zh) 衬底表面和室表面的蚀刻剂处理工艺
US7651948B2 (en) Pre-cleaning of substrates in epitaxy chambers
TWI442448B (zh) 使用選擇性沉積製程製備mosfet元件的方法
JP5303148B2 (ja) 交互ガス供給による選択的エピタキシープロセス
KR101074186B1 (ko) 에피택셜 필름 형성을 위한 클러스터 툴
JP2009516906A (ja) 光励起堆積プロセス中にシリコン含有材料を形成する方法
KR20060110291A (ko) 고농도로 도핑된 에피택셜 SiGe의 선택적 증착 방법
JP2009535859A (ja) 炭素を混合したsi膜を使用した極浅接合形成の方法
KR20080071891A (ko) 선택적 에피택시 공정의 제어
US7700424B2 (en) Method of forming an embedded silicon carbon epitaxial layer
KR100938301B1 (ko) 기판 표면 및 챔버 표면을 위한 식각액 처리 공정
TWI768245B (zh) 用於矽-鍺預清潔之方法與設備
TW202035773A (zh) 用於金屬矽化物沉積的方法及設備
US7648886B2 (en) Shallow trench isolation process
JP7420752B2 (ja) パルスプラズマ堆積エッチングのステップカバレッジ改善
US20230223257A1 (en) Methods of epitaxially growing boron-containing structures

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160803

Termination date: 20220127