CN101061255A - 低温SiN沉积方法 - Google Patents

低温SiN沉积方法 Download PDF

Info

Publication number
CN101061255A
CN101061255A CNA2005800393940A CN200580039394A CN101061255A CN 101061255 A CN101061255 A CN 101061255A CN A2005800393940 A CNA2005800393940 A CN A2005800393940A CN 200580039394 A CN200580039394 A CN 200580039394A CN 101061255 A CN101061255 A CN 101061255A
Authority
CN
China
Prior art keywords
treatment zone
containing precursor
silicon
nitrogen
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800393940A
Other languages
English (en)
Inventor
阿吉特·P·帕仁吉佩
康展·张
布伦登·麦克杜格尔
韦恩·维雷布
米歇尔·巴顿
艾伦·戈德曼
萨默纳斯·内奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101061255A publication Critical patent/CN101061255A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

本发明公开了通过将含硅前驱物导入处理区中,排出处理区中包括含硅前驱物的气体,同时均匀逐渐降低处理区的压力,将含氮前驱物导入处理区中,并排出处理区中包括含氮前驱物的气体,同时均匀逐渐降低处理区的压力,在处理区内的衬底上沉积硅氮化物层。在排气步骤期间,压力降低相对于时间的斜率基本为常数。

Description

低温SiN沉积方法
技术领域
本发明的实施方式一般涉及衬底处理。更具体地,本发明涉及化学气相沉积工艺。
背景技术
化学气相沉积(CVD)薄膜用于在集成电路中形成材料的多层。CVD薄膜用作绝缘体、扩散源、扩散掩模和注入掩模、间隔物和最终钝化层。通常在设计具有特定的热量和质量传输特性的腔室中沉积所述薄膜以优化在衬底表面上物理性和化学性均匀的薄膜的沉积。腔室通常为较大集成设备的一部分以在衬底表面上制造多个元件。设计腔室以同时处理一个衬底或处理多个衬底。
由于器件几何尺寸减小以使集成电路能加快,预期降低沉积薄膜的热预算同时满足对高产率、新薄膜特性和低浓度的不相关物质的不断增长的需求。历来,在数个小时的时间周期内在低压条件下进行沉积的批式烘炉中以700℃或更高的温度执行CVD。可通过降低沉积温度达到低热预算。低温沉积需要采用低温前驱物或减少沉积时间。
已经使用硅卤化物做为低温硅源(参照Skordas,et al.,Proc.Mat.Res.Soc.Symp.(2000)606:109-114)。具体地,硅碘化物或四碘硅烷(SiI4)已与氨(NH3)一起使用以在低于500℃的温度下沉积硅氮化物。一旦超过阈值暴露,硅氮化物沉积速率基本独立于前驱物暴露。图1示出了与硅前驱物暴露时间成函数关系的归一化沉积速率如何渐近地到达最大值,并因此可估算前驱物暴露时间。所述温度为450℃。SiI4为具有0.5Torr的部分气压的含硅前驱物以及氨为含氮前驱物。
然而,SiI4为具有低挥发性的固体,其使低温硅氮化物沉积工艺困难。另外,与硅与氮含量化学计量比为约0.75的薄膜相比较,这些薄膜富含氮,具有硅与氮含量之比约0.66。这些薄膜还包含约16%到20%的氢。利用增强硼扩散通过正沟道金属氧化物半导体(PMOS)器件的栅极电介质以及利用偏离化学计量薄膜湿刻速率,这些材料中高氢含量可能对于器件性能是有害的。即,低温SiI4薄膜采用HF或热磷酸的湿刻速率比采用二氯硅烷和氨气在750℃沉积的硅氮化物薄膜的湿刻速率高3到5倍。另外,采用氨气作为含氮前驱物与硅卤化物一起用于硅氮化物薄膜的沉积产生诸如NH4Cl、NH4BR、NH4I和其他铵盐的形成。
另一种在低温下沉积硅氮化物薄膜的方法采用六氯乙硅烷(HCDS)(Si2Cl6)和氨气(参照Tanaka,et al.,J.Electrochem.Soc.147:2284-2289,美国专利申请公开号2002/0164890,和美国专利申请公开号2002/0024119)。图2示出了沉积速率在大暴露量下如何不渐近至恒定值,但单调增加而不达到饱和值,即使具有大暴露量。当它暴露于附加的气相HCDS中以在表面形成Si-Cl2并可能形成SiCl4时,表面化学吸收的HCDS逐渐分解。发现引入SiCl4和HCDS可略微降低腔室中HCDS的分解。用于该实验的含氮前驱物为氨。
当HCDS分解时,在衬底上可能不会出现沉积薄膜厚度的不均匀。还可能出现晶圆到晶圆薄膜厚度的变化。薄膜化学计量退化。所述薄膜富含硅并包含大量氯。这些偏差可导致最终产品中的漏电。为了防止HCDS分解,已试验了限定HCDS的部分压力和暴露时间。美国专利申请20020164890描述了控制腔室压力至2Torr并采用大流速的载气以降低HCDS部分气压。然而,为了在超过每周期2的沉积速率下得到表面的饱和,需要诸如30秒的长暴露时间。如果降低该暴露时间,则沉积速率可降低至每周期1.5以下。
通过在晶圆上维持对流气流以均匀分布反应物还可改善具有HCDS的衬底表面饱和。在美国专利5,551,985和6,352,593中对此进行了描述。
低压硅氮化物沉积的另一问题为前驱物的凝聚以及腔室表面上的反应副产物。由于这些沉积物从腔室表面分离并变得易碎,它们可能污染衬底。由于盐的蒸发和升华温度,铵盐形成物更有可能在低温硅氮化物沉积时形成。例如,NH4Cl在150℃蒸发。
因此,极需阻碍铵盐形成并采用有效的前驱物和高效的工艺条件用于低温硅氮化物沉积。
发明内容
本发明主要提供一种用于在处理区内的衬底上沉积包含硅和氮的层的方法。根据本发明的实施方式,该方法包括步骤:将含硅前驱物导入至处理区中,在包括含硅前驱物的处理区中排出气体同时均匀地逐渐降低处理区的压力,将含氮前驱物导入处理区中,以及在包括含氮前驱物的处理区中排出气体同时均匀地逐渐降低处理区的压力。根据本发明的一方案,在排气步骤期间压力降低相对时间的斜率基本为常数。
附图说明
因此以上方式所述本发明的特征可以更详细的理解,将参照实施方式对以上的简单概述进行对本发明更具体的描述,其中在附图中示出了部分实施方式。然而,应当注意附图仅示出了本发明的典型实施方式,并因此不能认为是本发明范围的限定,本发明可允许其他等同的有效实施方式。
图1为归一化沉积速率与硅源暴露时间的函数关系图(现有技术);
图2为对于两种温度的沉积速率与压力的函数关系图(现有技术);
图3为压力与时间函数关系图;
图4为用于沉积硅氮化物薄膜的元件的流程图;
图5为沉积速率和晶圆内部(WiW)不均匀度与温度的函数关系图;
图6为晶圆不均匀度与压力的函数关系图。
具体实施方式
本发明提供用于包括硅氮化物薄膜低温沉积的衬底处理的方法和设备。将具体描述含硅前驱物、含氮前驱物和其他工艺气体。接着,将描述工艺条件。最后,将描述实验结果和优点。本发明可在从位于加利福尼亚的Santa Clara的应用材料公司购买得到的FlexStar(tm)腔室、CA或在此处具体说明的条件下配置用于衬底处理的任意其他腔室中进行。在美国专利第6,352,593号、美国专利第6,352,594号、美国专利申请第10/216,079号和美国专利申请第10/342,151号中描述了详细的设备信息,在此引入其全部内容作为参考。用于导入前驱物的载气包括氩气和氮气。在工艺中用于清洗步骤的清洗气体包括氩气和氮气。
含硅前驱物
用于低温硅氮化物沉积的含硅前驱物为六氯乙硅烷和二氯硅烷。可选择含硅前驱物,原因在于它在室温下为液态或固态,其在预热温度下容易蒸发或升华。其他含硅前驱物包括硅卤化物,诸如SiI4、SiBr4、SiH2I2、SiH2Br2、SiCl4、Si2H2Cl2、SiHCl3、Si2Cl6和更一般地SiXnY4-n或Si2XnY6-n,其中X为氢或有机配位体以及Y为卤素诸如Cl、Br、F或I。也可选择较高阶硅烷盐,但一般前驱物的挥发性和热稳定性随着分子中硅原子数增加而降低。由于尺寸、热稳定性或其他特点,可选择有机成分,并且该有机成分包括任意直链或支链烷基组,诸如甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、壬基、癸基、十一烷基、十二烷基、替代的烷基组,及其异构体诸如异丙基、异丁基、仲丁基、叔丁基、异戊烷、异己烷等。还可选择芳基组,其包括苯基和奈基。可选择烯丙基组和替代的烯丙基组。适合用于低温沉积应用的含硅前驱物包括乙硅烷、硅烷、三氯硅烷、四氯硅烷和双(叔丁胺)硅烷。SiH2I2还可适合用作前驱物,原因在于与其他前驱物相比,它与含氮前驱物发生强烈的放能和放热反应。
含氮前驱物
氨为用于低温硅氮化物沉积最常用的氮源。可选择烷基胺。替代物包括二烯丙基胺和三烷基胺。具体的前驱物包括三甲胺、叔丁胺、二烯丙基胺、甲胺、乙胺、丙胺、丁胺、烯丙胺、环丙胺和类似烷基胺。也可选择联氨、基于联氨衍生物和叠氮化物诸如烷基叠氮化物、叠氮化铵及其他。替代地,可采用原子氮。原子氮可由等离子体中的二原子氮气形成。该等离子体可在独立于沉积反应器的反应器中形成并经由电场或磁场传输至沉积反应器中。
基于沿着处理区的表面形成的不期望沉积的类型,还可选择含硅或含氮前驱物。具有低熔点的副产物残留比具有高熔点的副产物残留更容易挥发并从腔室排出。
沉积的工艺条件
图3和图4一起示出了如何操作腔室压力同时将前驱物、载气和清洗气体从腔室导入和排出的示意图。在清洗步骤401的时间t0时,腔室压力为沉积期间腔室最低压力P0。在含硅前驱物步骤402的时间t1时,含硅前驱物和可选载气导入腔室,该腔室压力快速上升至P1。在腔室压力P1下含硅前驱物的可选载气持续供应至时间t2。在从t2到t3进行的清洗步骤403期间,通过控制导入至腔室中的前驱气体和可选载气的减少并控制导入至腔室的清洗气体,以及控制排气阀的开口,得到腔室压力逐渐降低至P0。在含氮前驱物步骤404的时间t3时,含氮前驱物和可选载气导入腔室,腔室压力快速上升至P1。在腔室压力P1下,含氮前驱物和可选载气持续供应至t4。在从t4到t5进行的清洗步骤405期间,通过控制导入至腔室的前驱气体和可选载气的减少并控制导入至腔室的清洗气体,以及控制排气阀的开口,得到腔室压力逐渐降低至P0。在清洗步骤403和405期间,压力降低相对于时间的斜率基本为常数。步骤403和405的斜率可相似或不同,取决于前驱物的选择、衬底支架的温度、或其他设计条件。
导入处理区的初始高密度的前驱物使包括位于衬底表面上的开口的衬底表面快速饱和。如果高密度的前驱物留在腔室中的时间过长,则具有前驱物成分的不止一层将粘附在衬底表面。例如,在从系统清洗前驱物之后,如果过多的含硅前驱物残留在衬底表面,则生成的薄膜将具有不期望的高硅密度。处理区受控的逐渐降低的压力有助于维持沿着衬底表面的化学物的均匀分布,同时促使无关前驱物和载体从区域排出,同时利用附加的清洗气体诸如氮气或氩气清洗系统。处理区受控的压力的逐渐降低还防止在压力快速降低下常见的温度下降。
前驱物步骤402和404包括将前驱物导入腔室中。该前驱物步骤还包括导入诸如氮或氩的载气。另外,固定容积的前驱物可在预热区中加热,并导入处理区中以沿着衬底表面提供前驱气体均匀分布的饱和层。
可基于多种因素选择用于导入前驱气体和用于清洗所述气体的时间。可加热衬底支架至需要调整前驱物暴露时间以避免沿着腔室表面化学沉积的温度。在气体导入和清洗结束时的处理区压力可能影响时间选择。前驱物需要不同的时间量以沿着衬底表面完全化学吸收,而不是由可能改变生成薄膜的化学成分的过量化学物完全覆盖。前驱物的化学特性,诸如它们的化学质量、生成热或其他特性可能影响需要移动化学物通过系统的时间或沿着衬底表面的化学反应需要的长度。沿着腔室表面的沉积物的化学特性可能需要额外的时间清洗系统。在示例性实施方式中,用于导入前驱物和可选载气的时间周期从1秒变化到5秒,以及用于清洗步骤的时间周期从2秒到变化到10秒。
HCDS或DCS为优选的含硅前驱物。部分压力HCDS由生成的副产物和前驱物的成本限定。前驱物导入的优选摩尔分数为0.05到0.3。氨为优选的含氮前驱物,其也具有优选的进气摩尔分数0.05到0.3。
在软件控制下,通过操作诸如进气阀和出气阀的工艺硬件可控制处理区的压力。如图3所示,该工艺的系统的压力可从0.1Torr变化到30Torr。在沉积工艺中,腔室的处理区内的清洗压力的最低值为约0.2到2Torr,而在约2到10Torr下前驱物和载气可导入至腔室中。可调节衬底支架的温度至约400℃到650℃。
尤其是当选择室温下不可能是气体的的前驱物时,气体导入腔室可包括预热前驱物和/或载气。该气体可预热至约100到250℃以获得用于输送至处理区的充足蒸气压力和蒸发速率。可能需要加热SiI4高于约180℃。预热前驱物输送系统有助于在输送管道、处理区和腔室的排气装置中避免前驱物的凝结。
用于减少铵盐形成的工艺
可采用五种方法以减少铵盐形成和处理区的污染。一般地,通过从处理区去除氢卤化合物或通过利用使盐与气态烯烃或炔物质接触形成后去除盐,该机构使铵盐的形成最小。
首先,可利用诸如乙炔或乙烯的HY受主作为添加剂。在沉积前驱物混合物中包括HY受主允许盐有效地从反应器去除并可有助于除去从含硅或含氮前驱物离解的卤素原子。其他HY受主添加剂包括可为卤代或非卤代的烯烃、诸如降冰片烯(norborene)和亚甲基环戊烯的应变环系统,以及诸如SiH4的甲硅烷基氢化物。采用有机添加剂还可有益于沉积工艺原因在于可选择添加剂以调整加入薄膜的碳。碳加入薄膜的控制为期望的原因在于调整的碳含量降低湿刻速率,改善SiO2的干刻选择性,降低介电常数和折射系数,提供改善的绝缘性质,并且还可减少漏电。利用调整的碳添加,还可获得高的拐角刻蚀选择性。
第二,诸如硅烷的甲硅烷基氢化物添加剂可用作HI受主。包括HI受主通过限制NH4I形成降低处理区中铵盐的副作用。
第三,作为含硅前驱物和HI受主的化合物可用于将硅提供给工艺中并有效地从腔室去除盐。适合的含硅前驱物包括具有SiXnY4-n或Si2XnY6-n化学式的物质。
第四,可采用除氨外的氮源作为含氮前驱物,从而免除了用于形成铵盐的原材料。例如,与采用氨时相比,当烷基胺用作氮源时,将产生较少的HY。当用作含氮前驱物时,三烷基胺在热力学上更期望并且不产生任何HY。
最后,HY接收的诸如环丙基组或烯丙基组的一部分可结合诸如胺的氮源以得到最终的诸如环丙胺或丙烯胺的双功能化合物。该方法减少了将第三成分添加至前驱气体入口的必要。它还增加了HI受主结合HY受主的可能性。在温度低于500℃,该方法还是尤其期望的。
所述五种方法可以任何方式独立地采用或结合使用以有助于降低铵盐形成。
实验结果
在前驱物没有部分分解的情况下,如图3和图4所述,改进传统的清洗系统以使处理区压力逐渐并均匀降低导致较高级别的前驱物表面饱和。图5示出了晶圆到晶圆的不均匀度(百分比)和沉积速率(/周期)与从450℃到550℃采用HCDS和氨作为前驱物的沉积温度如何相关。图6示出了在导入前驱物气体期间从0.2Torr到7Torr的压力如何影响晶圆到晶圆的不均匀度。利用HCDS和氨在550℃沉积薄膜。傅立叶变换红外光谱分析表明所述薄膜为Si3N4。薄膜的覆盖率超过95%。该工艺还产生不超过1%的氯含量。沉积速率在590℃时增加至2/周期并在470℃降低至0.8/周期。在较低温度下硼扩散通过生成的薄膜也降低。下表1概述了在550℃时附加的实验结果。
  参数   值  注释
  沉积速率   1.5-1.6/周期  低于饱和值
  晶圆内部不均匀度(WiWNU)   <±1.5%  R/2M
  反射系数   1.99  >300薄膜
  化学计量   Si:N~0.74  化学计量比
  杂质  H~8% Cl~0.9%   原子%
  表面粗糙度  Ra~3.7   ~417薄膜
  湿刻速率  31.5/分钟   100∶1 HF,2分钟
 222/分钟   热H3PO4,0.5分钟
  收缩  ~4.3%   850℃,60分钟N2退火
  应力  450MPa拉力   退火后1620MPa
  覆盖率  ~100%   40∶1AR深沟槽
  微负载  0-5%   由SEM分辨率限定
  金属污染  全反射X射线荧光分析(TXRF)分辨极限   包括Ti
  薄膜中微粒  <50(0.2μm)   100薄膜,SP-1
表1.在550℃沉积的硅氮化物薄膜的测试结果。
导入诸如氢气或乙硅烷的载气或添加剂也改变生成薄膜的性质。表2示出了观察到的沉积速率、反射系数、硅与氮之比,以及在利用不同的分裂方法形成的薄膜中观察到的氢百分比。通过利用不包含氮的载气或包括添加剂的载气,可提高薄膜的氢含量和硅与氮之比。
  分裂  速率/分钟   (反射系数)R.I.   Si∶N   [H]At.%
  基线(w/N2)  14.5   1.800   0.65   20.2
  基线(w/Ar)  13.5   1.799   0.72   20.5
  低压(0.5Torr)  6.76   1.811   0.65   19.1
  NH3∶Si源~20∶1  17.9   1.807   0.65   19.7
  NH3∶Si源~4∶1  12.0   1.795   0.72   20.1
  氢添加剂  14.3   1.084   0.65   19.4
  乙硅烷添加剂  20.6   2.386   1.0   11.3
表2.在基线条件下和采用添加剂沉积的薄膜的性质。
有多种方法控制碳添加。在表3中,A为硅前驱物(HCDS),B为氮前驱物(氨),以及C为添加剂(叔丁胺)。
  方法  速率/周期   反射系数 WER/分钟
  A→B  1.9   1.95 13
  A→C  1.0   1.93 1
  A→B→C  1.65   1.93 3
  A→C→B  1.85   1.94 4
  A→B→A→C  1.70   1.92 4
  A→33%B+67%C  1.80   1.93 4
  A→67%B+33%C  2.0   1.94 9
  A→50%+50%C2H4  1.9   2.0 7
表3.用于不同沉积工艺的沉积速率、反射系数和湿刻速率。
用A→C→A→C顺序沉积的薄膜包含高达20%的碳,而A→B→A→B顺序薄膜不包含任何碳。其他方法在薄膜中得到中间值的碳。如果在顺序A→50%B+50%C中用叔丁胺代替C2H4,则薄膜的湿刻速率将适当降低同时沉积速率和反射系数基本不受影响。另外,碳含量为探测极限(小于1原子百分比)。
以控制量导入碳以100∶1 HF提高湿刻速率为1.5到10的倍数。利用具有碳加入的干刻速率降低为1.25到1.5倍。通过利用乙烯、叔丁胺和二烯丙基胺作为HY受主结合Si2Cl6和氨观察到这种提高的湿刻速率。
发现导入SiCl4和HCDS以降低HCDS分解形成SiCl2的可能性。
在此描述的前驱物还可应用在硅氧化物的低温沉积中。该工艺可采用具有远程等离子体的O2、O3、H2O、H2O2、N2O或Ar和O2作为氧化剂。前驱物还可应用于氮氧化物的低温沉积中,其中N2O2用作氮和氧源。
虽然上述针对本发明的实施方式,但在不偏离本发明的基本范围内,可设计本发明的其他及进一步的实施方式,并且本发明的范围由以下的权利要求书所限定。

Claims (20)

1.一种用于在处理区内的衬底上沉积包含硅和氮的层的方法,包括:
将含硅前驱物导入到所述处理区中;
排出所述处理区中包括所述含硅前驱物的气体,同时均匀地逐渐降低所述处理区的压力;
将含氮前驱物导入到所述处理区中;以及
排出所述处理区中包括所述含氮前驱物的气体,同时均匀逐渐降低所述处理区的压力。
2.根据权利要求1所述的方法,其特征在于,进一步包括维持衬底支架在400℃到650℃的温度。
3.根据权利要求1所述的方法,其特征在于,所述处理区的所述压力为0.2到10Torr。
4.根据权利要求1所述的方法,其特征在于,在每个排气步骤期间压力降低相对于时间的斜率基本为常数。
5.根据权利要求4所述的方法,其特征在于,在所述排气步骤期间所述压力降低相对于时间的所述斜率基本相同。
6.根据权利要求4所述的方法,其特征在于,用于导入所述含硅前驱物的时间周期和用于导入所述含氮前驱物的时间周期为1到5秒。
7.根据权利要求4所述的方法,其特征在于,用于排出所述处理区中包括所述含硅前驱物和所述含氮前驱物的气体的时间周期为2到20秒。
8.根据权利要求1所述的方法,其特征在于,在导入所述含硅前驱物时所述处理区中的压力为0.2到10Torr,以及在导入所述含氮前驱物时所述处理区中的压力为0.2到10Torr。
9.根据权利要求1所述的方法,其特征在于,在导入所述含硅前驱物之前所述处理区中的压力为0.2Torr,以及在导入所述含氮前驱物之前所述处理区中的压力为0.2Torr。
10.根据权利要求1所述的方法,其特征在于,所述含氮前驱物选自包含氨、三甲胺、叔丁胺、二烯丙基胺、甲胺、乙胺、丙胺、丁胺、丙烯胺和环丙胺的组。
11.根据权利要求1所述的方法,其特征在于,含硅前驱物选自包括乙硅烷、硅烷、三氯硅烷、四氯硅烷和双(叔丁胺)硅烷的组。
12.一种用于在处理区内的衬底上沉积包括硅和氮的层的方法,其特征在于,包括:
预热含硅前驱物和含氮前驱物;
将含硅前驱物导入至所述处理区中;
排出在所述处理区中包括所述含硅前驱物的气体,同时均匀逐渐降低所述处理区的压力;
导入含氮前驱物至所述处理区内;以及
排出在所述处理区中包括所述含氮前驱物的气体同时均匀逐渐降低所述处理区的压力。
13.根据权利要求12的方法,其特征在于,将所述含硅前驱物和所述含氮前驱物预热至100到250℃。
14.根据权利要求12所述的方法,其特征在于,在所述排气步骤期间通过控制导入至所述处理区的清洗气体量以及通过控制连接所述处理区的排气阀降低所述处理区的压力。
15.根据权利要求12所述的方法,其特征在于,所述含氮前驱物选自包括氨、三甲胺、叔丁胺、二烯丙基胺、甲胺、乙胺、丙胺、丁胺、丙烯胺和环丙胺的组以及所述含硅前驱物选自包括乙硅烷、硅烷、三氯硅烷、四氯硅烷和双(叔丁胺)硅烷的组。
16.根据权利要求12所述的方法,其特征在于,所述处理区中的所述衬底支架维持在400到650℃的温度。
17.根据权利要求12所述的方法,其特征在于,所述处理区的压力为0.2到10Torr。
18.一种用于在处理区中在衬底上沉积包括硅和氮的层的方法,其特征在于,包括:
将含硅前驱物导入至所述处理区中;
排出所述处理区中包括所述含硅前驱物的气体,同时降低所述处理区的压力从而压力降低相对于时间的斜率基本为常数;
将含氮前驱物导入至所述处理区中;以及
排出在所述处理区中包括所述含氮前驱物的气体,同时降低所述处理区的压力从而压力降低相对于时间的斜率基本为常数。
19.根据权利要求18所述的方法,其特征在于,用于导入所述含硅和含氮前驱物的时间周期为1-5秒,以及用于排出包括所述含氮和含硅前驱物的时间周期为2-20秒。
20.根据权利要求18所述的方法,其特征在于,所述处理区的压力为0.2到10Torr。
CNA2005800393940A 2004-10-20 2005-08-15 低温SiN沉积方法 Pending CN101061255A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/970,317 US20060084283A1 (en) 2004-10-20 2004-10-20 Low temperature sin deposition methods
US10/970,317 2004-10-20

Publications (1)

Publication Number Publication Date
CN101061255A true CN101061255A (zh) 2007-10-24

Family

ID=36088362

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800393940A Pending CN101061255A (zh) 2004-10-20 2005-08-15 低温SiN沉积方法

Country Status (6)

Country Link
US (1) US20060084283A1 (zh)
EP (1) EP1825019A2 (zh)
JP (1) JP2008517479A (zh)
KR (1) KR20070061593A (zh)
CN (1) CN101061255A (zh)
WO (1) WO2006044019A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103928647B (zh) * 2013-01-16 2016-02-17 海洋王照明科技股份有限公司 氮化硅复合隔膜及其制备方法
CN107864649A (zh) * 2016-05-19 2018-03-30 乔治洛德方法研究和开发液化空气有限公司 经由卤化物交换反应制备含Si‑H碘代硅烷
CN110387535A (zh) * 2018-04-19 2019-10-29 美光科技公司 可编程电荷存储晶体管、存储器单元和形成绝缘体材料的方法
TWI711625B (zh) * 2018-01-12 2020-12-01 美商恩特葛瑞斯股份有限公司 胺基碘代矽烷及合成彼等胺基碘代矽烷之方法

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) * 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP2012015344A (ja) * 2010-07-01 2012-01-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9691972B1 (en) 2015-12-21 2017-06-27 International Business Machines Corporation Low temperature encapsulation for magnetic tunnel junction
US10106425B2 (en) 2016-05-19 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Synthesis methods for halosilanes
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
WO2018132568A1 (en) * 2017-01-13 2018-07-19 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US10566251B2 (en) 2018-07-17 2020-02-18 International Business Machines Corporation Techniques for forming vertical transport FET
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
US11538677B2 (en) * 2020-09-01 2022-12-27 Applied Materials, Inc. Systems and methods for depositing high density and high tensile stress films
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5298287A (en) * 1993-02-05 1994-03-29 United Technologies Corporation Method of making CVD Si3 N4
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6291837B1 (en) * 1997-03-18 2001-09-18 Semiconductor Energy Laboratory Co., Ltd. Substrate of semiconductor device and fabrication method thereof as well as semiconductor device and fabrication method thereof
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
KR100390822B1 (ko) * 1999-12-28 2003-07-10 주식회사 하이닉스반도체 이미지센서에서의 암전류 감소 방법
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6271054B1 (en) * 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002343962A (ja) * 2001-05-15 2002-11-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) * 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP4265409B2 (ja) * 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103928647B (zh) * 2013-01-16 2016-02-17 海洋王照明科技股份有限公司 氮化硅复合隔膜及其制备方法
CN107864649A (zh) * 2016-05-19 2018-03-30 乔治洛德方法研究和开发液化空气有限公司 经由卤化物交换反应制备含Si‑H碘代硅烷
CN107864649B (zh) * 2016-05-19 2021-07-20 乔治洛德方法研究和开发液化空气有限公司 经由卤化物交换反应制备含Si-H碘代硅烷
TWI805561B (zh) * 2016-05-19 2023-06-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 藉由鹵化物交換反應製備含Si-H之碘基矽烷
TWI711625B (zh) * 2018-01-12 2020-12-01 美商恩特葛瑞斯股份有限公司 胺基碘代矽烷及合成彼等胺基碘代矽烷之方法
US11312739B2 (en) 2018-01-12 2022-04-26 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
CN110387535A (zh) * 2018-04-19 2019-10-29 美光科技公司 可编程电荷存储晶体管、存储器单元和形成绝缘体材料的方法

Also Published As

Publication number Publication date
JP2008517479A (ja) 2008-05-22
WO2006044019A3 (en) 2006-08-03
KR20070061593A (ko) 2007-06-13
EP1825019A2 (en) 2007-08-29
US20060084283A1 (en) 2006-04-20
WO2006044019A2 (en) 2006-04-27

Similar Documents

Publication Publication Date Title
CN101061255A (zh) 低温SiN沉积方法
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US11515149B2 (en) Deposition of flowable silicon-containing films
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
TWI606136B (zh) 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8084105B2 (en) Method of depositing boron nitride and boron nitride-derived materials
JP5005170B2 (ja) 超高品質シリコン含有化合物層の形成方法
US20190066997A1 (en) Layer forming method and apparatus
CN103975419B (zh) 等离子体活化保形电介质膜沉积
US20040152287A1 (en) Deposition of a silicon film
KR20170018872A (ko) 질화규소 막을 증착시키는 방법
WO2016201314A1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
US20040146644A1 (en) Precursors for depositing silicon containing films and processes thereof
CN1694230A (zh) 氮化硅薄膜及其制造方法
CN1868041A (zh) 氧化硅和氧氮化硅的低温沉积
CN103890910A (zh) 等离子体活化保形电介质膜沉积
CN1822330A (zh) 用于产生栅极叠层侧壁隔片的方法
CN1926668A (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
JP2009512188A (ja) ドープされた窒化シリコン膜の低温堆積のための方法及び装置
CN1967780A (zh) 用于制作场效应晶体管的栅极电介质的方法
CN1800444A (zh) 成膜装置及其使用方法
US10804094B2 (en) Methods of depositing SiCON with C, O and N compositional control
EP3307744A1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
US8242029B2 (en) Method for forming a silicon dioxide/metal oxide-nanolaminate with a desired wet etch rate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication