CN101032032A - 具有变细的下本体部分的非平面器件及制造方法 - Google Patents

具有变细的下本体部分的非平面器件及制造方法 Download PDF

Info

Publication number
CN101032032A
CN101032032A CNA200580033161XA CN200580033161A CN101032032A CN 101032032 A CN101032032 A CN 101032032A CN A200580033161X A CNA200580033161X A CN A200580033161XA CN 200580033161 A CN200580033161 A CN 200580033161A CN 101032032 A CN101032032 A CN 101032032A
Authority
CN
China
Prior art keywords
semiconductor body
sidewall
described semiconductor
distance
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200580033161XA
Other languages
English (en)
Other versions
CN100481514C (zh
Inventor
乌黛·沙阿
布赖恩·多伊尔
贾斯廷·布拉斯克
罗伯特·召
托马斯·莱特森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101032032A publication Critical patent/CN101032032A/zh
Application granted granted Critical
Publication of CN100481514C publication Critical patent/CN100481514C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/978Semiconductor device manufacturing: process forming tapered edges on substrate or adjacent layers

Abstract

一种非平面半导体器件具有形成在衬底的绝缘层上的半导体本体。半导体本体具有与形成在绝缘层上的底表面相对的顶表面和一对横向相对的侧壁,其中在顶表面处的横向相对的侧壁之间的距离大于在底表面处的横向相对的侧壁之间的距离。在半导体本体的顶表面和半导体本体的侧壁上形成栅介质层。在半导体本体的顶表面和侧壁上的栅介质层上形成栅电极。在半导体本体中、在栅电极的相对侧上形成一对源极/漏极区。

Description

具有变细的下本体部分的非平面器件及制造方法
技术领域
本发明涉及半导体器件领域,尤其涉及一种具有变细的下本体部分的非平面三栅极晶体管以及制造方法。
背景技术
为了提高现代集成电路例如微处理器的性能,已经提出绝缘体上硅(SOI)晶体管。绝缘体上硅(SOI)晶体管具有如下优点:它们能以全耗尽方式工作。全耗尽型晶体管对于最佳ON电流/OFF电流比具有理想的亚阈值梯度的优点。
所提出的能以全耗尽方式工作的SOI晶体管的例子是如图1所示的三栅极晶体管100。三栅极晶体管100包括形成在绝缘衬底102上的硅本体104,该绝缘衬底102具有形成在单晶硅衬底105上的掩埋氧化物层103。如图1所示,栅介质层106形成在硅本体104的顶部和侧壁上。栅电极108形成在栅介质层上并在三个侧面环绕本体104,基本上提供具有三个栅电极(G1、G2、G3)的晶体管100,在硅本体104的每一个侧壁上有一个栅电极,在硅本体104的顶表面上有一个栅电极。如图1所示,源极区110和漏极区112形成在硅本体104中且位于栅电极108的相对侧上。
三栅极晶体管100的优势在于它呈现出良好的短沟道效应(SCE)。三栅极晶体管100获得良好的短沟道效应的一个原因是器件的非平面化使得以环绕有源沟道区的方式设置栅电极108。即,在三栅极器件中,栅电极108与沟道区的三个侧面接触。不幸的是,第四侧面,沟道的底部通过掩埋氧化物层103与栅电极绝缘,并由此不在邻近栅极的控制下。
附图说明
图1是非平面或三栅极器件的示图;
图2A和2B示出根据本发明的具有变细的下本体部分的三栅极或非平面器件;
图3A示出具有多个变细的下本体部分的非平面器件;
图3B是具有变细的下本体部分并包括侧壁隔离物、源极/漏极延伸区和硅化物源极/漏极区的示图;
图4A-4H示出形成根据本发明的实施例的具有变细的下本体部分的非平面器件的方法;
图5A-5D示出本发明的实施例,外形蚀刻可以使下本体部分变细。
具体实施方式
本发明是一种新颖的具有变细的下本体部分的非平面器件及其制造方法。在下面的说明中,为了更彻底地理解本发明,阐述了很多具体细节。在其它的实例中,为了不必要地使本发明晦涩难懂,没有特别详细地描述公知的半导体工艺和制造技术。
本发明的实施例包括具有半导体本体的非平面或三栅极晶体管,所述半导体本体通过栅介质层和栅电极环绕在三个侧面上。在本发明的实施例中,使半导体本体的底部比半导体本体的顶部更细。使半导体本体的底部比顶部更细提高了本体底部上的栅极控制,从而获得了更好的短沟道效应。在本发明的实施例中,利用干法蚀刻工艺将半导体膜蚀刻成半导体本体,所述干法蚀刻工艺使用第一处理气体化学物质和第一RF偏置(RF bias)。在形成半导体本体之后,使用相同的蚀刻化学物质和设备但是使用更低的偏压使本体的下部分变细,以便使下本体部分向内逐渐变细或对其进行刻面(facet)。
图2A和2B示出具有下本体部分变细的半导体本体的非平面或三栅极器件200。图2A是晶体管200的俯视/侧视图,而图2B是通过栅电极截取的截面图。晶体管200形成在衬底202上并包括半导体本体或鳍204。栅介质层206形成在半导体本体204的顶表面234以及侧壁230和232上。栅电极208形成在栅介质层206上并在三个侧面上环绕半导体本体或鳍。如图2A所示,源极区210和漏极区212形成在半导体本体中且位于栅电极208的相对侧上。
如图2A和2B已经明显地示出,半导体本体204具有比顶部224更细的底部222。也就是,在顶表面234处的侧壁230和232之间的距离大于在底表面236处的侧壁230和232之间的距离。在本发明的实施例中,顶部224的侧壁230和232基本上垂直并且分开相同的距离,而对底部222的侧壁230和232进行刻面或使其向内逐渐变细,以减少在底部中的侧壁230和232之间的距离。在本发明的实施例中,底表面附近的侧壁230和232之间的距离是顶表面234附近的侧壁230和232之间的距离的1/2到2/3之间。在本发明的实施例中,侧壁230和232大约在半导体本体204的高度238的中点处开始向内部逐渐变细(即侧壁在顶表面234和底表面236之间的中点处开始向内逐渐变细)。在本发明的实施例中,在顶表面234处的侧壁230和232之间的距离在20-30纳米之间,而底表面236附近的侧壁230和232间的距离在10-15纳米之间。在本发明的实施例中,使半导体本体204的底部222足够细以便使底部的栅极控制与顶部的栅极控制相似。在本发明的实施例中,使半导体本体204的底部222相对于顶部足够细以改善晶体管200的短沟道效应。
另外,如图5A-5D所示,可以使用其它的半导体本体轮廓或形状以改善三栅极或非平面晶体管200的短沟道效应(SCE)。例如,如图5A所示,半导体本体204可以具有一对侧壁230和232,它们从顶表面234到底表面236向内连续地变细。另外,在本发明的实施例中,如图5B所示,半导体本体204可以具有侧壁230和232,它们从顶表面到底表面向内连续地变细,并且在一点或基本在点502处到达底表面236。在本发明的另一实施例中,如图5C所示,半导体本体204可以具有一对侧壁230和232,它们包括由相同距离分开的上部垂直部分510,中间向内逐渐变细的部分512,以及由第二距离分开的垂直侧壁的下部分514,该第二距离小于分开顶部侧壁510的距离。在本发明的另一实施例中,半导体本体可以具有其中侧壁230和232被刻面或向内逐渐变细的上部分224,以及其中侧壁230和232是垂直的或基本垂直的底部222。在图5A-5D所示的每一个例子中,在顶表面上的半导体本体204的侧壁230和232之间的距离大于底表面上的半导体本体间的距离。通过这种方式,栅电极208可以对底表面处的半导体本体有更好的控制并且由此改善器件的短沟道效应。
在本发明的实施例中,三栅极晶体管200形成在绝缘衬底202上,该绝缘衬底包括下单晶硅衬底250,在其上形成有绝缘层252,例如二氧化硅膜。在本发明的实施例中,绝缘层252是SOI衬底的掩埋氧化物层。然而,三栅极晶体管200可以形成在任何公知的绝缘衬底上,例如由二氧化硅、氮化物、氧化物和蓝宝石形成的衬底。
半导体本体204形成在绝缘衬底202的绝缘层252上。半导体本体204可以形成在任何公知的材料上,例如但不限于硅(Si)、锗(Ge)、硅锗(SixGey)、砷化镓(GaAs)、InSb、GaP和GaSb。半导体本体204可以由任何公知的通过施加外部电控制可从绝缘状态反向变换到导电状态的材料形成。当希望晶体管200具有最好的电性能时,半导体本体204在理想情况下为单晶膜。例如,当晶体管200用于高性能应用,例如诸如微处理器的高密度电路时,半导体本体204是单晶膜。然而,当晶体管200用于对性能的要求不是很严格的应用时,例如用于液晶显示器时,半导体本体204可以是多晶膜。绝缘体252使半导体本体204与单晶硅衬底250绝缘。在本发明的实施例中,半导体本体204是单晶硅膜。
栅介质层206形成在半导体本体204上并环绕半导体本体204的三个侧面,如图2A和2B所示。栅介质层206形成在侧壁230上或邻近于侧壁230,形成在本体204的顶表面234上,以及形成在本体204的侧壁232上或邻近于侧壁232,如图2A和2B所示。栅介质层206可以是任何公知的栅介质层。在本发明的实施例中,栅介质层是二氧化硅(SiO2),氮氧化硅(SiOxNy)或氮化硅(Si3N4)介质层。在本发明的实施例中,栅介质层206是形成为厚度在5-20之间的氮氧化硅膜。在本发明的实施例中,栅介质层206是诸如金属氧化物电介质的高k栅介质层,例如但不限于五氧化钽(TaO5),氧化钛(TiO2)和氧化铪(HfO)。栅介质层206可以是其它类型的高k介质层,例如但不限于PZT和BST。
如图2A和2B所示,栅电极208形成在栅介质层206上并环绕栅介质层206。栅电极208形成在于半导体本体204的侧壁230上形成的栅介质层206上或与其邻近,形成在于半导体本体204的顶表面34上形成的栅介质层206上,以及形成在于半导体本体204的侧壁232上形成的栅介质层206上或与其邻近。栅电极208具有一对横向相对的侧壁260和262,它们由定义晶体管200的栅长(Lg)的距离分开。在本发明的实施例中,栅电极208的横向相对的侧壁260和262沿着垂直于半导体本体204的侧壁230和232的方向延伸。
栅电极208可以由任何适当的栅电极材料形成。在本发明的实施例中,栅电极208包括掺杂浓度介于1×1019原子/cm3到1×1020原子/cm3之间的多晶硅膜。在本发明的实施例中,栅电极可以是金属栅电极,例如但不限于钨、钽、钛和它们的氮化物。在本发明的实施例中,栅电极由中间间隙功函数(midgap workfunction)介于4.5到4.8eV之间的材料形成。应当了解栅电极208不必是单一材料并且可以是薄膜的组合叠层,例如但不限于多晶硅/金属电极或金属/多晶硅电极。
晶体管200具有源极区210和漏极区212。源极区210和漏极区212形成在半导体204中且位于栅电极208的相对侧上,如图2A所示。当形成NMOS晶体管时,源极区210和漏极区212形成为n型导电类型,当形成PMOS器件时形成为p型导电性。在本发明的实施例中,源极区210和漏极区212具有介于1×1019原子/cm3到1×1021原子/cm3之间的掺杂浓度。源极区210和漏极区212可以由相同的浓度形成或者可以包括具有不同浓度或掺杂剂分布的子区,例如顶部区域(例如源极/漏极延伸区)和接触区域。在本发明的实施例中,当晶体管200是对称晶体管时,源极区210和漏极区212具有相同的掺杂浓度和分布。在本发明的实施例中,当晶体管200形成为不对称晶体管时,源极区210和漏极区212的掺杂浓度分布可以变化以便实现本领域公知的任意特殊的电特性。源极区210和漏极区212可以共同地被称为源极/漏极区对。
位于源极区210和漏极区212之间的半导体本体204的部分限定晶体管200的沟道区270。还可以将沟道区270限定为由栅电极208环绕的半导体本体204的区域。然而有时,源极/漏极区可以通过例如扩散稍微地延伸到栅电极的下方以限定比栅电极长度(Lg)略小的沟道区。在本发明的实施例中,沟道区270是本征或未掺杂的单晶硅。在本发明的实施例中,沟道区270是掺杂的单晶硅。当沟道区270被掺杂时,通常将其掺杂到导电率的水平介于1×1016原子/cm3到1×1019原子/cm3之间。在本发明的实施例中,当掺杂沟道区时,通常将其掺杂为具有与源极区210和漏极区212相反的导电类型。例如,当源极和漏极区是n型导电性时,沟道区将被掺杂为p型导电性。类似地,当源极和漏极区是p型导电性时,沟道区将是n型导电性。通过这种方式,三栅极晶体管200可以分别形成为NMOS晶体管或PMOS晶体管。沟道区270可以被均匀地掺杂,或者可以被非均匀地掺杂,或者具有不同的浓度以提供特殊的电特性和性能特性。例如,如果需要,沟道区270可以包括公知的“光晕”区。
通过提供在三个侧面环绕半导体本体的栅电极以及栅电介质,三栅极晶体管的特征在于:具有三个沟道和三个栅极,在硅本体204的侧面230上的源极和漏极区之间延伸的一个栅极和沟道(G1),在硅本体204的顶表面上的源极和漏极区之间延伸的第二栅极和沟道(G2),并且在硅本体204的侧壁上的源极和漏极区之间延伸的第三栅极和沟道(G3)。晶体管200的栅极“宽度”(Gw)是三个沟道区的宽度的总和。也就是,晶体管200的栅极宽度等于硅本体204的侧壁230的长度,加上硅本体204的顶表面234的长度,加上硅本体204的侧壁232的长度。较大“宽度”的晶体管可以通过使用耦合到一起的多个器件(例如,由单个栅电极208环绕的多个硅本体204)来获得,如图3A所示。
因为由栅电极208和栅电介质206在三个侧面环绕沟道区270,晶体管200可以以完全耗尽的方式工作,其中当使晶体管200“导通”时,沟道区270完全耗尽由此提供全耗尽晶体管的有利的电特性和性能。也就是,当使晶体管200“导通”时,在沟道区270中与区270的表面处的反型层一起形成耗尽区(即反型层形成在半导体本体的侧表面和顶表面上)。反型层具有与源极和漏极区相同的导电类型并在源极和漏极区之间形成导电沟道以允许电流在其间流过。耗尽区耗尽了来自反型层下方的自由载流子。耗尽区延伸到沟道区270的底部,因此晶体管可以被称为“全耗尽”晶体管。在本发明的实施例中,半导体本体204的下部分222相对于上部分变得更细,以使栅电极可以更好地控制半导体本体的下部分。通过使下部分变细,两个侧壁栅极G1和G3可以更容易地耗尽来自反型层下方的自由载流子,所述反型层形成在半导体本体204的下部分的侧壁上。通过使半导体本体204的下部分222变细,来自侧壁的两个栅极G1和G3可以以与三个栅极G1、G2和G3控制半导体本体204的上部分224中的沟道的方式相类似的方式控制沟道区。使本体或鳍的底部变细不仅减少两个栅极之间的半导体的厚度,而且减少本体与掩埋氧化物接触的部分的宽度。这些组合的效应减少了具有变细的下本体部分的三栅极器件中的短沟道效应。
因为在半导体本体204中的水平和垂直方向上形成沟道270的反型层,所以本发明的晶体管200可以被称为非平面晶体管。因为从水平(G2)和垂直侧面(G1和G3)施加来自栅电极208的电场,所以本发明的半导体器件也可以被认为是非平面器件。
如上所述,晶体管200的栅极宽度等于从晶体管200的半导体本体204产生的三个栅极宽度的总和。为了制造具有更大的栅极宽度的晶体管,晶体管200可以包括附加的或多个半导体本体或鳍204,如图3A所示。每一个半导体本体或鳍204具有形成在其顶表面和侧壁上的栅介质层206,如图3A所示。栅电极208形成在每一个半导体本体204上的每一个栅介质层206上或与其邻近。每一个半导体本体204包括形成在半导体本体204中的、位于栅电极208的相对侧上的源极区210和漏极区212,如图3A所示。在本发明的实施例中,每一个半导体本体208形成为其宽度和高度(厚度)与其它半导体本体204相同。在本发明的实施例中,半导体本体204的每一个源极区210和漏极区212通过用于形成半导体本体204的半导体材料电耦合在一起以形成源极连接垫(landing pad)310和漏极连接垫312,如图3A所示。或者,源极区210和漏极区212可以通过用于在功能电路中将各个晶体管200电互连到一起的较高层金属(例如,金属1、金属2、金属3)而耦合到一起。如图3A所示的晶体管200的栅极宽度等于通过每一个半导体本体204产生的栅极宽度的总和。通过这种方式,可以形成具有任意期望的栅极宽度的非平面或三栅极晶体管200。在本发明的实施例中,如上所述,每一个半导体本体204包括比顶部224细的底部222。
在本发明的实施例中,源极210和漏极212可以包括形成在在半导体本体204上并环绕该半导体本体的硅或其它半导体膜350,如图3B所示。例如,半导体膜350可以是硅膜或硅合金,例如硅锗(SixGey)。在本发明的实施例中,半导体膜350是所形成的具有与源极区210和漏极区212相同的导电类型的单晶硅膜。在本发明的实施例中,半导体膜可以是硅合金,例如硅锗,其中硅包括大约1-99原子百分比的合金。半导体膜350不必是单晶半导体膜,并且在实施例中可以是多晶膜。在本发明的实施例中,半导体膜350形成在半导体本体204的源极区210和漏极区212上以形成“升高”的源极和漏极区。半导体膜350可以通过一对诸如氮化硅或氧化硅或其组合物的介质侧壁隔离物360与栅电极电绝缘。如图3B所示,侧壁隔离物360沿着栅电极208的横向相对的侧壁260和262延伸,由此将半导体膜350与栅电极208绝缘。在本发明的实施例中,侧壁隔离物360具有介于20-200之间的厚度。通过增加半导体本体的源极和漏极区210和212的硅或半导体膜并形成“升高”的源极和漏极区,增加源极和漏极区的厚度,由此减小晶体管200的源极/漏极接触电阻以提高它的电特性和性能。
在本发明的实施例中,硅化物膜370,例如但不限于硅化钛、硅化镍、硅化钴,形成在源极区210和漏极区212上。在本发明的实施例中,硅化物370形成在半导体本体204上的硅薄膜350上,如图3B所示。然而,如果需要,硅化物膜370可以直接形成到硅本体204上。在自对准工艺(即自对准多晶硅化物工艺)中介质隔离物360使硅化物370能形成在半导体本体204或硅膜250上。
在本发明的实施例中,如果需要,当栅电极208是硅或硅锗膜时,硅膜350和/或硅化物膜370还可以形成在栅电极208的顶部。栅电极208上的硅膜350和硅化物膜370的形成减小了栅电极的接触电阻,由此改善了晶体管200的电性能。
图4A-4H示出形成具有变细的下本体部分的非平面晶体管的方法。晶体管的制造从衬底402开始。硅或半导体膜408形成在衬底402上,如图4A所示。在本发明的实施例中,衬底402是绝缘衬底,如图4A所示。在本发明的实施例中,绝缘衬底402包括下单晶硅衬底404和顶部绝缘层406,例如二氧化硅膜或氮化硅膜。绝缘层406将半导体膜408与衬底404绝缘,并且在实施例中形成为其厚度介于200-2000之间。绝缘层406有时被称为“掩埋氧化物”层。当硅或半导体膜408形成在绝缘衬底402上时,产生绝缘衬底上的硅或半导体(SOI)。
尽管半导体膜408在理想情况下为硅膜,但是在其它实施例中它也可以是其它类型的半导体膜,例如但不限于锗(Ge)、硅锗合金(SixGey)、砷化镓(GaAs)、InSb、GaP和GaSb。在本发明的实施例中,半导体膜408是本征(即未掺杂)硅膜。在其它实施例中,将半导体膜408掺杂为具有介于1×1016-1×1019原子/cm3之间的浓度水平的p型或n型导电性。可以对半导体膜408进行原位掺杂(即在沉积的同时进行掺杂)或在通过例如离子注入在衬底402上形成半导体膜408之后对其进行掺杂。在形成后进行掺杂使得能够容易地在相同的绝缘衬底上制造PMOS和NMOS三栅极器件。在这一点上半导体本体的掺杂水平可用于设置器件沟道区的掺杂水平。
半导体膜408形成为其厚度大约等于随后形成的半导体本体或制造的三栅极晶体管的本体所需要的高度。在本发明的实施例中,半导体膜408的厚度或高度409小于30纳米,并且在理想情况下小于20纳米。在本发明的实施例中,半导体膜408形成为其厚度大约等于希望制造的三栅极晶体管所具有的栅极“长度”。在本发明的实施例中,形成比希望器件所具有的栅极长度更厚的半导体膜408。在本发明的实施例中,形成半导体膜408使其厚度允许制造的三栅极晶体管对于其设计的栅极长度(Lg)能以全耗尽方式工作。
半导体膜408可以以任何公知的方法形成在绝缘衬底402上。在一种形成绝缘体上硅的衬底的方法(被称为SIMOX技术)中,以高剂量将氧原子注入到单晶硅衬底中,并且然后进行退火以形成衬底内的掩埋氧化物406。掩埋氧化物上方的单晶硅衬底的部分变为硅膜408。当前用于形成SOI衬底的另一技术是外延硅膜转移技术,其通常被称为结合的SOI。在该技术中,第一硅晶片具有生长在其表面上的薄氧化物,其稍后将用作SOI结构中的掩埋氧化物406。接着,将高剂量氢注入到第一硅晶片中以在第一晶片的硅表面之下形成高应力区域。然后,翻转该第一晶片并将其结合到第二硅晶片的表面上。然后沿着通过氢注入形成的高应力平面(stress plain)切割第一晶片。这导致顶部上具有薄硅层、下面的掩埋氧化物都处在单晶硅衬底的顶部上的SOI结构。可以使用公知的平滑技术,例如HCL平滑或化学机械抛光(CMP),来将半导体膜408的顶表面平滑到所希望的厚度。
此时,如果需要,可以将绝缘区(未示出)形成到SOI衬底中以便使将要形成在其中的各个晶体管相互绝缘。可以通过利用例如公知的光刻和蚀刻技术蚀刻掉衬底膜408环绕三栅极晶体管的部分,并且然后利用诸如SiO2的绝缘膜回填所蚀刻的区域,来形成绝缘区。
在本发明的实施例中,硬掩模材料410形成在半导体膜408上,如图4A所示。硬掩模材料410是能为半导体膜408的蚀刻提供硬掩模的材料。硬掩模材料是能在半导体膜408的蚀刻期间保持其外形的材料。硬掩模材料410是在半导体膜408的蚀刻期间不蚀刻或仅轻微蚀刻的材料。在本发明的实施例中,硬掩模材料由这样的材料形成:其使得用于蚀刻半导体膜408的蚀刻剂蚀刻薄膜408至少比蚀刻硬掩模材料快五倍,并且在理想情况下至少快十倍。在本发明的实施例中,当半导体膜408是硅膜时,硬掩模材料410可以是氮化硅或氮氧化硅膜。形成硬掩模材料410使其厚度足以在半导体膜408的整个蚀刻期间保持其外形,但是又不能太厚以至于在对其的构图中产生困难。在本发明的实施例中,硬掩模材料410形成为其厚度介于3纳米到20纳米之间,并且在理想情况下小于10纳米。
接下来,还是如图4A所示,光刻胶掩模412形成在硬掩模层410上。光刻胶掩模412包含将要转移到半导体膜408中的特征图案。光刻胶掩模412可以通过任何公知的技术形成,例如通过覆盖沉积光刻胶材料,通过掩模,曝光和显影使光刻胶膜形成为具有用于将要被构图的半导体膜408的期望图案的光刻胶掩模412。光刻胶掩模412通常由有机化合物形成。形成光刻胶掩模412使其厚度足以在对硬掩模膜410进行构图的同时保持其外形,但是又不能太厚以至于阻止可能通过所使用的光刻系统和工艺而形成最小尺寸(即临界尺寸)的光刻构图。
接着,如图4B所示,与光刻胶掩模412对准蚀刻硬掩模材料410以形成如图4B所示的硬掩模414。光刻胶掩模412防止在下面的硬掩模材料410部分被蚀刻。在本发明的实施例中,利用能蚀刻硬掩模材料但不蚀刻下面的半导体膜208的蚀刻剂来蚀刻硬掩模。利用对下面的半导体膜208具有几乎完美选择性的蚀刻剂来蚀刻硬掩模材料。也就是,在本发明的实施例中,硬掩模蚀刻剂蚀刻硬掩模材料至少比蚀刻下面的半导体膜208快一百倍(即蚀刻剂具有至少50∶1的硬掩模对半导体膜的选择率)。当硬掩模材料414是氮化硅或氮氧化硅膜时,利用干法蚀刻工艺,例如反应离子蚀刻/电子回旋驻留等离子蚀刻,将硬掩模材料410蚀刻成硬掩模414。在本发明的实施例中,利用包括CHF3和O2和Ar/CH2F2和C4F8和Ar和O2的化学物质对氮化硅或氮氧化硅硬掩模进行反应离子蚀刻。
接着,如图4C所示,在将硬掩模膜410构图成硬掩模414之后,可以通过公知技术去除光刻胶掩模412。例如,可以利用包括硫酸和过氧化氢的“piranha”清洗溶液去除光刻胶掩模412。另外,利用O2灰化去除光刻胶掩模412的残余物。
尽管不需要,但希望在蚀刻半导体膜408之前去除光刻胶掩模412从而使来自光刻胶的聚合物膜不形成在图案化的半导体膜408的侧壁上。因为干法蚀刻工艺能腐蚀光刻胶掩模并导致聚合物膜逐渐形成在半导体本体的侧壁上,其很难被去除并且不利于器件性能,所以希望在蚀刻半导体膜408之前首先去除光刻胶掩模412。通过在对半导体薄膜408进行构图之前首先去除光刻胶膜412,可以对半导体薄膜408进行构图并保持原来的侧壁。
接着,如图4D所示,与硬掩模414对准蚀刻半导体膜408以形成具有一对横向相对的侧壁418和420的半导体本体416。硬掩模414防止在蚀刻工艺期间在下面的半导体膜208的部分被蚀刻。持续进行蚀刻直到到达下面的绝缘衬底。在本发明的实施例中,蚀刻“终点”在掩埋氧化物层406上。利用蚀刻半导体208而不显著蚀刻硬掩模414的蚀刻剂蚀刻半导体膜208。在本发明的实施例中,对半导体膜408进行各向异性蚀刻以使半导体本体416具有形成为与硬掩模414的侧壁对准的几乎垂直的侧壁418和420,由此提供与硬掩模414几乎完美的一致性(fidelity)。当硬掩414为氮化硅或氮氧化硅硬掩且半导体膜408为硅膜时,可以利用包括HBr/Ar/O2的干法蚀刻工艺来蚀刻硅膜408。
在本发明的实施例中,利用电子回旋驻留((electron cyclotronresidence)ECR)等离子蚀刻器蚀刻半导体本体408。在本发明的实施例中,使用包括压力在0.2到0.8帕斯卡之间的HBr/O2的化学物质和大约120瓦特的RF功率的ECR等离子蚀刻器用于将硅薄膜408蚀刻为硅本体416。这种蚀刻工艺产生基本上各向异性的蚀刻以提供基本上垂直的侧壁418和420,如图4D所示。另外,这种蚀刻具有对掩埋氧化物层406的高选择率(大约20∶1)以使掩埋氧化物层蚀刻得很少并且可以用作蚀刻停止和用于终点检测。终点检测的能力对于确保所有的半导体膜从掩埋氧化物层清除是很重要的,因为在整个晶片上的薄膜的厚度409可以变化且不同宽度的半导体本体的蚀刻速率也可以变化。在本发明的实施例中,使用在100-120瓦特之间的RF偏置。RF偏置控制蚀刻中的电子能量,其反过来控制蚀刻的各向异性分布。
接着,如图4F所示,蚀刻半导体本体416以减少在半导体本体416的下部分中的侧壁418和420之间的距离。使半导体本体的下部分变细的半导体本体的蚀刻可以被称为“外形”蚀刻。在本发明的实施例中,使用外形蚀刻以使侧壁418和420向内逐渐变细或形成侧壁418和420上的面422和424,如图4E所示。应该理解的是在本发明的其它实施例中,外形蚀刻可以使下本体部分变细,如图5A-5D所示。在本发明的实施例中,与半导体本体的上部分相比,使用产生各向同性蚀刻的等离子蚀刻工艺以减小半导体本体的下部分中的侧壁之间的距离。在本发明的实施例中,在外形蚀刻期间所使用的等离子蚀刻设备和蚀刻化学物质与在半导体膜408的构图期间所使用的等离子蚀刻设备和蚀刻化学物质相同,除了降低RF偏置以减小离子的垂直方向性。在本发明的实施例中,当半导体本体416是硅本体时,使用具有包括HBr/O2的化学物质和在0.2到0.8帕斯卡之间的压力且具有介于50-70瓦特之间的RF偏置的ECR等离子蚀刻器可以完成外形蚀刻。
接着,还如图4F所示,从具有变细的下本体部分的半导体本体416去除硬掩模414。在本发明的实施例中,当硬掩模414是氮化硅或氮氧化硅膜时,可以用包括磷酸和Di水的湿化学物质(wetchemistry)去除硬掩模。在本发明的实施例中,硬掩模蚀刻包括使用80-90%之间的磷酸(在体积上)和加热到150-170℃之间的温度且在理想情况下加热到160℃的Di水。这种蚀刻剂在氮化硅硬掩模214和掩埋氧化物层406之间将具有几乎完美的选择性。
接着,如果需要,在如图4F所示去除硬掩模414之后,可以将半导体本体416暴露给湿蚀刻剂以清洗本体416。在本发明的实施例中,硅本体416暴露给包括氢氧化氨(NH4OH)的液体蚀刻剂以去除任何的可能在硅本体416的构图期间形成的凹痕或线边缘粗糙。在本发明的实施例中,在30秒到2分钟之间的一段时间内在20-30摄氏度之间的温度下将硅本体416暴露给包括在体积上介于0.1-1%之间的氢氧化氨的蚀刻剂以便提供具有原始侧壁418和420的半导体本体416。
接着,如图4G所示,将栅介质层430形成在侧壁418和420以及半导体本体416的顶表面上。栅介质层可以是沉积的电介质或生长的电介质。在本发明的实施例中,栅介质层426是由干/湿氧化工艺生长的氮氧化硅介质膜。在本发明的实施例中,氧化硅膜生长成厚度介于5-15之间。在本发明的实施例中,栅介质层430是沉积的电介质,例如但不限于高介电常数膜,例如诸如五氧化钽(Ta2O5)、氧化钛(TiO2)、氧化铪、氧化锆和氧化铝的金属氧化物电介质。另外,在本发明的实施例中,栅介质层430可以是其它高k介质膜,例如但不限于PZT和BST。可以使用任何公知的技术沉积高k电介质,例如但不限于化学汽相沉积、原子层沉积和溅射。
接着,栅电极432形成在于半导体本体416的顶表面上形成的栅介质层430上并形成在栅介质层430上或邻近于栅介质层430,该栅介质层430形成在侧壁418和420上或邻近于侧壁418和420,如图4G所示。栅电极432具有与形成在绝缘层406上的底表面相对的顶表面且具有限定器件的栅极长度的一对横向相对的侧壁434和436。可以通过如下方式形成栅电极432:在衬底上覆盖沉积适当的栅电极材料,并且然后利用公知的光刻和蚀刻技术对栅电极材料进行构图以从栅电极材料形成栅电极432。在本发明的实施例中,栅电极材料包括多晶硅。在本发明的另一实施例中,栅电极材料包括多晶硅锗合金。在本发明的另一实施例中,栅电极材料可以包括金属膜,例如但不限于钨、钽和它们的氮化物。在本发明的实施例中,用于确定栅电极432的光刻工艺使用最少或最小尺寸的用于制造非平面晶体管的蚀刻工艺(也就是,在本发明的实施例中,栅电极432的栅极长度具有由光刻限定的晶体管的最小特征尺寸)。在本发明的实施例中,栅极长度小于或等于30纳米且在理想情况下小于20纳米。应该理解的是,尽管利用蚀刻掉不希望的部分的“消去”工艺形成如图4G和4H所示的栅介质层和栅电极,但是可以利用替换栅极工艺形成栅电极,在该工艺中首先形成牺牲栅电极,形成与其邻近的中间层电介质,然后去除牺牲栅电极以形成开口,然后在该开口中如本领域公知的那样形成栅电极。
接着,如图4H所示,然后在半导体本体416中、在栅电极432的相对侧上形成源极区440和漏极区442。对于PMOS晶体管,将半导体本体掺杂成浓度介于1×1020原子/cm3到1×1021原子/cm3之间的p型导电性。对于NMOS非平面晶体管,将半导体本体416掺杂成n型导电性,浓度介于1×1020原子/cm3到1×1021原子/cm3之间,以形成源极/漏极区。在本发明的实施例中,可以通过离子注入形成源极/漏极区。在本发明的实施例中,离子注入发生在垂直方向(即垂直衬底的方向)上,如图4H所示。栅电极432是多晶硅栅电极且可在离子注入工艺期间对其进行掺杂。栅电极432作为掩模以防止离子注入步骤掺杂非平面晶体管的沟道区。另外,沟道区是位于下面或由栅电极432环绕的半导体本体416的部分。如果栅电极432是金属电极,则在离子注入工艺期间可以使用介质硬掩模来阻挡掺杂。在其它实施例或其它方法中,例如可以使用固态源扩散来掺杂半导体本体以形成源极和漏极区。在本发明的实施例中,源极/漏极区还可以包括子区,例如源极/漏极延伸区和源极/漏极接触区。在这种情况下,将在栅电极432的任一侧上掺杂半导体本体416以形成源极/漏极延伸区,并且然后沿栅电极的侧壁形成如图3B所示的一对侧壁隔离物并使用第二掺杂步骤以形成如本领域公知的重掺杂源极/漏极接触区。另外,如果此时需要,可以将额外的硅和/或硅化物形成到半导体本体416上以形成升高的源极/漏极区并降低器件的接触电阻。这就完成了非平面器件的制造,该器件的半导体本体具有变细的下部分以改善器件性能。

Claims (25)

1、一种半导体器件,包括:
形成在衬底的绝缘层上的半导体本体,所述半导体本体具有与形成在所述绝缘层上的底表面相对的顶表面以及一对横向相对的侧壁,其中在所述顶表面处的所述横向相对的侧壁之间的距离大于在所述底表面处的所述横向相对的侧壁之间的距离;
形成在所述半导体本体的所述顶表面和所述半导体本体的所述侧壁上的栅介质层;
形成在所述半导体本体的所述顶表面和侧壁上的所述栅介质层上的栅电极;以及
形成在所述半导体本体中的、位于所述栅电极的相对侧上的一对源极/漏极区。
2、根据权利要求1所述的半导体器件,其中在所述半导体本体的所述底表面处的所述侧壁之间的所述距离大约是在所述半导体本体的顶表面上的所述侧壁之间的距离的1/2到2/3。
3、根据权利要求1所述的半导体器件,其中在大约所述半导体本体的中间部分处,所述半导体本体的所述侧壁之间的距离变得小于在顶表面处的所述半导体本体的所述侧壁之间的距离。
4、根据权利要求1所述的半导体器件,其中所述侧壁之间的距离在所述半导体本体的顶部是相同的,并且朝向所述半导体本体的底部而逐渐变小。
5、根据权利要求1所述的半导体器件,其中使得在所述半导体本体的底部处的所述侧壁之间的距离足够小以改善所述晶体管的短沟道效应。
6、根据权利要求1所述的半导体器件,其中在所述半导体本体的所述顶表面处的所述横向相对的侧壁之间的距离大约为30-20nm。
7、根据权利要求1所述的半导体器件,其中邻近在所述半导体本体的所述底部的所述横向相对的侧壁之间的距离大约为15-10nm。
8、一种半导体器件,包括:
形成在衬底的绝缘层上的半导体本体,所述半导体本体具有与形成在所述绝缘层上的底表面相对的顶表面以及一对横向相对的侧壁,其中所述横向相对的侧壁具有切面使得所述半导体本体的底部分比所述半导体本体的顶部更细;
形成在所述半导体本体的所述顶表面和所述半导体本体的所述侧壁上的栅介质层;
形成在所述半导体本体的所述侧壁和所述半导体本体的所述顶表面上的所述栅介质层上的栅电极;以及
形成在所述半导体本体中的、位于所述栅电极的相对侧上的一对源极/漏极区。
9、根据权利要求8所述的半导体器件,其中所述半导体本体包括硅。
10、根据权利要求8所述的半导体器件,其中邻近所述半导体本体的底表面的所述侧壁之间的距离大约是在所述半导体本体的顶部的所述侧壁之间的距离的50-66%。
11、一种形成器件的方法,包括:
在衬底的绝缘层上形成半导体本体,所述半导体本体具有与形成在所述绝缘层上的底表面相对的顶表面和一对横向相对的侧壁,其中在所述半导体本体的底表面处的所述横向相对的侧壁之间的距离小于在所述半导体本体的顶表面处的所述横向相对的侧壁之间的距离;
在所述半导体本体的所述顶表面和所述半导体本体的所述侧壁上形成栅介质层;
在所述半导体本体的所述顶表面上的所述栅介质层上形成栅电极,并且邻近于所述半导体本体的所述侧壁上的所述栅介质层形成所述栅电极;以及
在所述半导体本体中、在所述栅电极的相对侧上形成一对源极/漏极区。
12、根据权利要求11所述的方法,其中所述半导体本体的底部的宽度大约是所述半导体本体的顶部的宽度的1/2到2/3。
13、根据权利要求11所述的方法,其中所述侧壁之间的所述距离在所述半导体本体的顶部是相同的,而在所述半导体本体的底部附近逐渐减小。
14、根据权利要求11所述的方法,其中在顶表面处的所述半导体本体的所述侧壁之间的距离介于20-30nm之间,并且其中邻近底部的所述横向相对的侧壁之间的距离介于10-15nm之间。
15、一种形成晶体管的方法,包括:
提供具有形成在其上的氧化物绝缘层的衬底和形成在所述氧化物绝缘层上的半导体薄膜;
蚀刻所述半导体膜以形成具有与所述氧化物绝缘膜上的底表面相对的顶表面和一对横向相对的侧壁的半导体本体;
蚀刻所述半导体本体以相对于所述半导体本体的顶部减少邻近所述半导体本体的底部的横向相对的侧壁之间的距离;
在所述半导体本体的顶表面和侧壁上形成栅介质层;
在所述半导体本体的顶部上的所述栅介质层上形成栅电极并且邻近于所述半导体本体的侧壁上的所述栅介质层形成所述栅电极;以及
在所述半导体本体中、在所述栅电极的相对侧上形成一对源极/漏极区。
16、根据权利要求15所述的方法,其中所述半导体膜的所述蚀刻在所述氧化物绝缘层上停止。
17、根据权利要求15所述的方法,其中所述半导体本体包括硅,并且其中所述半导体膜的所述蚀刻是利用包括HBr/O2的化学物质的干法蚀刻工艺。
18、根据权利要求15所述的方法,其中所述半导体本体的所述蚀刻在不显著地蚀刻所述半导体本体的顶部的情况下减小邻近所述半导体本体的底部的横向相对的侧壁之间的距离。
19、根据权利要求18所述的方法,其中所述半导体本体是硅,并且通过利用包括HBr/O2的化学物质的干法蚀刻工艺对其进行蚀刻。
20、根据权利要求18所述的方法,其中在用于减少底部厚度的所述半导体本体的所述蚀刻期间所使用的功率使用介于50-70瓦特之间的RF偏置。
21、根据权利要求18所述的方法,其中用于减少所述半导体本体的底部上的侧壁之间的距离的蚀刻工艺使用介于150-180mL/min之间的总的HBr/O2气流。
22、根据权利要求15所述的方法,还包括在蚀刻所述半导体本体以减小邻近底部的所述半导体本体的横向相对的侧壁之间的距离之后,将所述半导体本体暴露给包括NHyOH的液体化学物质。
23、根据权利要求15所述的方法,其中用于形成所述本体的所述半导体膜的所述蚀刻使用第一工艺气体化学物质和第一RF偏置,并且用于减小所述底部的厚度的所述半导体本体的所述蚀刻使用第二工艺气体和第二RF偏置,其中所述第二RF偏置小于所述第一RF偏置。
24、根据权利要求23所述的方法,其中所述第一工艺气体与所述第二工艺气体相同。
25、根据权利要求24所述的方法,其中所述第一和第二工艺气体包括HBr/Ar/O2
CNB200580033161XA 2004-10-25 2005-10-13 具有变细的下本体部分的非平面器件及制造方法 Expired - Fee Related CN100481514C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/973,228 2004-10-25
US10/973,228 US20060086977A1 (en) 2004-10-25 2004-10-25 Nonplanar device with thinned lower body portion and method of fabrication

Publications (2)

Publication Number Publication Date
CN101032032A true CN101032032A (zh) 2007-09-05
CN100481514C CN100481514C (zh) 2009-04-22

Family

ID=35735429

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200580033161XA Expired - Fee Related CN100481514C (zh) 2004-10-25 2005-10-13 具有变细的下本体部分的非平面器件及制造方法

Country Status (6)

Country Link
US (8) US20060086977A1 (zh)
KR (1) KR100879653B1 (zh)
CN (1) CN100481514C (zh)
DE (1) DE112005002397B4 (zh)
TW (1) TWI305053B (zh)
WO (1) WO2006047116A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103325832A (zh) * 2012-03-20 2013-09-25 台湾积体电路制造股份有限公司 具有金属栅极应激源的finfet
CN103594512A (zh) * 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
CN104701181A (zh) * 2009-12-30 2015-06-10 英特尔公司 多栅iii-v族量子阱结构
US9112028B2 (en) 2012-09-28 2015-08-18 Intel Corporation Methods of containing defects for non-silicon device engineering

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7410844B2 (en) * 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
US7666741B2 (en) * 2006-01-17 2010-02-23 International Business Machines Corporation Corner clipping for field effect devices
US7566949B2 (en) * 2006-04-28 2009-07-28 International Business Machines Corporation High performance 3D FET structures, and methods for forming the same using preferential crystallographic etching
JP2007299951A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置およびその製造方法
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7704883B2 (en) * 2006-12-22 2010-04-27 Texas Instruments Incorporated Annealing to improve edge roughness in semiconductor technology
JP2008300384A (ja) * 2007-05-29 2008-12-11 Elpida Memory Inc 半導体装置及びその製造方法
US20090001470A1 (en) * 2007-06-26 2009-01-01 Anderson Brent A Method for forming acute-angle spacer for non-orthogonal finfet and the resulting structure
WO2009003056A2 (en) * 2007-06-27 2008-12-31 New York University A nanoscale variable resistor/electromechanical transistor
JP2009054946A (ja) * 2007-08-29 2009-03-12 Seiko Instruments Inc 半導体装置とその製造方法
EP2037492A1 (en) * 2007-09-11 2009-03-18 S.O.I.Tec Silicon Insulator Technologies Multiple gate field effect transistor structure and method for fabricating same
JP4966153B2 (ja) * 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
ES2489615T3 (es) * 2007-12-11 2014-09-02 Apoteknos Para La Piel, S.L. Uso de un compuesto derivado del acido p-hidroxifenil propionico para el tratamiento de la psoriasis
US20090206404A1 (en) * 2008-02-15 2009-08-20 Ravi Pillarisetty Reducing external resistance of a multi-gate device by silicidation
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
KR101511933B1 (ko) * 2008-10-31 2015-04-16 삼성전자주식회사 핀 전계 효과 트랜지스터의 제조방법
US7851790B2 (en) * 2008-12-30 2010-12-14 Intel Corporation Isolated Germanium nanowire on Silicon fin
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8105928B2 (en) 2009-11-04 2012-01-31 International Business Machines Corporation Graphene based switching device having a tunable bandgap
US8129247B2 (en) * 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8097515B2 (en) * 2009-12-04 2012-01-17 International Business Machines Corporation Self-aligned contacts for nanowire field effect transistors
US8143113B2 (en) * 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8722492B2 (en) 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US9087741B2 (en) * 2011-07-11 2015-07-21 International Business Machines Corporation CMOS with dual raised source and drain for NMOS and PMOS
US8803233B2 (en) 2011-09-23 2014-08-12 International Business Machines Corporation Junctionless transistor
KR101805634B1 (ko) * 2011-11-15 2017-12-08 삼성전자 주식회사 Ⅲ-ⅴ족 배리어를 포함하는 반도체 소자 및 그 제조방법
DE112011105945B4 (de) 2011-12-19 2021-10-28 Google Llc Gruppe III-N Nanodraht-Transistoren und Verfahren zu ihrer Herstellung
CN113345952A (zh) 2011-12-22 2021-09-03 英特尔公司 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法
US9105654B2 (en) 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
US9559189B2 (en) * 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9018713B2 (en) 2012-06-25 2015-04-28 International Business Machines Corporation Plural differential pair employing FinFET structure
US9024387B2 (en) * 2012-06-25 2015-05-05 International Business Machines Corporation FinFET with body contact
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
US8927397B2 (en) * 2013-02-07 2015-01-06 International Business Machines Corporation Diode structure and method for gate all around silicon nanowire technologies
US8859372B2 (en) * 2013-02-08 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Double channel doping in transistor formation
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US20140306286A1 (en) * 2013-04-10 2014-10-16 International Business Machines Corporation Tapered fin field effect transistor
KR102045212B1 (ko) * 2013-04-23 2019-11-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US9263554B2 (en) 2013-06-04 2016-02-16 International Business Machines Corporation Localized fin width scaling using a hydrogen anneal
US9401429B2 (en) * 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9349850B2 (en) 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US20150076654A1 (en) * 2013-09-17 2015-03-19 Global Foundries Inc. Enlarged fin tip profile for fins of a field effect transistor (finfet) device
US9299784B2 (en) * 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
US9711645B2 (en) 2013-12-26 2017-07-18 International Business Machines Corporation Method and structure for multigate FinFET device epi-extension junction control by hydrogen treatment
US9627375B2 (en) * 2014-02-07 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Indented gate end of non-planar transistor
US9553171B2 (en) 2014-02-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9252155B2 (en) * 2014-06-20 2016-02-02 Macronix International Co., Ltd. Memory device and method for manufacturing the same
US9437445B1 (en) 2015-02-24 2016-09-06 International Business Machines Corporation Dual fin integration for electron and hole mobility enhancement
US9711535B2 (en) * 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9812394B2 (en) 2015-10-12 2017-11-07 International Business Machines Corporation Faceted structure formed by self-limiting etch
US9564370B1 (en) * 2015-10-20 2017-02-07 International Business Machines Corporation Effective device formation for advanced technology nodes with aggressive fin-pitch scaling
US9754939B2 (en) * 2015-11-11 2017-09-05 Globalfoundries Singapore Pte. Ltd. Integrated circuits having multiple gate devices with dual threshold voltages and methods for fabricating such integrated circuits
US9799649B2 (en) * 2015-12-17 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US9735273B1 (en) * 2016-04-11 2017-08-15 International Business Machines Corporation Method of forming a III-V compound semiconductor channel post replacement gate
US9966313B2 (en) * 2016-08-05 2018-05-08 Globalfoundries Inc. FinFET device and method of manufacturing
CN106298936A (zh) * 2016-08-16 2017-01-04 北京大学 一种倒梯形顶栅结构鳍式场效应晶体管及其制备方法
US9935102B1 (en) 2016-10-05 2018-04-03 International Business Machines Corporation Method and structure for improving vertical transistor
US10276728B2 (en) 2017-07-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including non-volatile memory cells
US10141231B1 (en) * 2017-08-28 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
KR102466356B1 (ko) 2017-08-30 2022-11-15 삼성전자주식회사 반도체 소자 및 그 제조방법
US11335705B2 (en) * 2017-09-15 2022-05-17 Intel Corporation Thin film tunnel field effect transistors having relatively increased width
JP7016177B2 (ja) * 2017-09-29 2022-02-04 国立研究開発法人産業技術総合研究所 半導体装置
CN107946354A (zh) * 2017-11-17 2018-04-20 北京大学 一种抗总剂量辐射的SOI FinFET器件及其制备方法
US11581334B2 (en) * 2021-02-05 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cocktail layer over gate dielectric layer of FET FeRAM

Family Cites Families (517)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3387820A (en) 1965-05-24 1968-06-11 Continental Aviat & Engineerin Turbine engine construction
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
JPS5673454A (en) 1979-11-21 1981-06-18 Sumitomo Metal Mining Co Ltd Manufacture of stepped semiconductor substrate
JPS59145538A (ja) 1983-10-21 1984-08-21 Hitachi Ltd 半導体装置の製造方法
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4872046A (en) 1986-01-24 1989-10-03 University Of Illinois Heterojunction semiconductor device with <001> tilt
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
FR2604826B1 (fr) 1986-10-06 1989-01-20 France Etat Procede de formation d'une couche isolante comportant du sulfure, derives sulfures obtenus et appareillage pour la mise en oeuvre du procede
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
FR2605647B1 (fr) 1986-10-27 1993-01-29 Nissim Yves Procede de depot en phase vapeur par flash thermique d'une couche isolante sur un substrat en materiau iii-v, application a la fabrication d'une structure mis
US4751201A (en) 1987-03-04 1988-06-14 Bell Communications Research, Inc. Passivation of gallium arsenide devices with sodium sulfide
US4818715A (en) * 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
JPH065856B2 (ja) 1988-07-05 1994-01-19 株式会社精工舎 色分解装置
KR910010043B1 (ko) 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US4871692A (en) 1988-09-30 1989-10-03 Lee Hong H Passivation of group III-V surfaces
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US5278012A (en) 1989-03-29 1994-01-11 Hitachi, Ltd. Method for producing thin film multilayer substrate, and method and apparatus for detecting circuit conductor pattern of the substrate
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
JP2553702B2 (ja) 1989-05-18 1996-11-13 日産自動車株式会社 半導体装置およびその製造方法
WO1991006976A2 (en) 1989-11-07 1991-05-16 Department Of The Navy Process for producing an aluminum oxide layer on various substrates
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) * 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5393680A (en) 1990-08-01 1995-02-28 Sumitomo Electric Industries, Ltd. MIS electrode forming process
US5278102A (en) 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
DE69009474T2 (de) 1990-09-14 1994-12-01 Ibm Methode zur Passivierung von geätzten Spiegelfacetten von Halbleiterlasern.
JP3061406B2 (ja) * 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5218213A (en) 1991-02-22 1993-06-08 Harris Corporation SOI wafer with sige
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
DE69213539T2 (de) 1991-04-26 1997-02-20 Canon Kk Halbleitervorrichtung mit verbessertem isoliertem Gate-Transistor
JPH05152293A (ja) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
JP3038063B2 (ja) 1991-09-25 2000-05-08 新一郎 植草 化合物半導体の表面不活性化方法
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
JPH0590252U (ja) 1992-05-01 1993-12-10 株式会社長府製作所 給湯器
JPH065856A (ja) 1992-06-19 1994-01-14 Kawasaki Steel Corp 半導体装置
JP3257077B2 (ja) 1992-10-20 2002-02-18 ソニー株式会社 Mis型半導体装置の製造方法
JPH06151387A (ja) 1992-11-10 1994-05-31 Nippon Telegr & Teleph Corp <Ntt> シリコンの精密加工方法
JPH06177089A (ja) 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
JP3260194B2 (ja) 1993-01-21 2002-02-25 新日本製鐵株式会社 Mos電界効果型トランジスタ及び不揮発性半導体記憶装置
KR960002088B1 (ko) 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) * 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US5475869A (en) 1993-05-28 1995-12-12 Nec Corporation Radio base station capable of distinguishing between interference due to collisions of outgoing call signals and an external interference noise
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
US5398641A (en) 1993-07-27 1995-03-21 Texas Instruments Incorporated Method for p-type doping of semiconductor structures formed of group II and group VI elements
JPH0750410A (ja) 1993-08-06 1995-02-21 Hitachi Ltd 半導体結晶積層体及びその形成方法並びに半導体装置
JP3460863B2 (ja) 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5554870A (en) 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US5883564A (en) 1994-04-18 1999-03-16 General Motors Corporation Magnetic field sensor having high mobility thin indium antimonide active layer on thin aluminum indium antimonide buffer layer
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3317582B2 (ja) 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) * 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) * 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
US5728594A (en) 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5539229A (en) 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
JPH08204191A (ja) 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5814545A (en) 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
JP2845186B2 (ja) 1995-12-05 1999-01-13 日本電気株式会社 半導体装置とその製造方法
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) * 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW548686B (en) 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
JPH10150185A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US5773331A (en) * 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) * 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JPH1140811A (ja) * 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US5981400A (en) 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6351040B1 (en) 1998-01-22 2002-02-26 Micron Technology, Inc. Method and apparatus for implementing selected functionality on an integrated circuit device
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US20010040907A1 (en) 1998-06-12 2001-11-15 Utpal Kumar Chakrabarti Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000037842A (ja) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd 電磁波吸収化粧材
US6117697A (en) 1998-07-27 2000-09-12 The United States Of America As Represented By The Secretary Of The Air Force Solid state magnetic field sensor method
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (ja) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6406795B1 (en) 1998-11-25 2002-06-18 Applied Optoelectronics, Inc. Compliant universal substrates for optoelectronic and electronic devices
US6200865B1 (en) 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
US6252262B1 (en) 1998-12-15 2001-06-26 The United States Of America As Represented By The Secretary Of The Navy Metal passivating layer for III-V semiconductors, and improved gate contact for III-V-based metal-insulator-semiconductor (MIS) devices
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
WO2000059233A1 (en) 1999-03-26 2000-10-05 Koninklijke Philips Electronics N.V. Video coding method and corresponding video coder
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
EP1063697B1 (en) 1999-06-18 2003-03-12 Lucent Technologies Inc. A process for fabricating a CMOS integrated circuit having vertical transistors
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
US6133593A (en) 1999-07-23 2000-10-17 The United States Of America As Represented By The Secretary Of The Navy Channel design to reduce impact ionization in heterostructure field-effect transistors
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6320212B1 (en) 1999-09-02 2001-11-20 Hrl Laboratories, Llc. Superlattice fabrication for InAs/GaSb/AISb semiconductor structures
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6159808A (en) 1999-11-12 2000-12-12 United Semiconductor Corp. Method of forming self-aligned DRAM cell
WO2001039292A2 (en) 1999-11-29 2001-05-31 Trustees Of The University Of Pennsylvania Fabrication of nanometer size gaps on an electrode
US6150670A (en) 1999-11-30 2000-11-21 International Business Machines Corporation Process for fabricating a uniform gate oxide of a vertical transistor
US6541829B2 (en) * 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) * 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (ja) * 2000-02-23 2006-11-15 信越半導体株式会社 ウエーハ外周面取部の研磨方法及び研磨装置
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
GB2362506A (en) 2000-05-19 2001-11-21 Secr Defence Field effect transistor with an InSb quantum well and minority carrier extraction
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100360476B1 (ko) 2000-06-27 2002-11-08 삼성전자 주식회사 탄소나노튜브를 이용한 나노 크기 수직 트랜지스터 및 그제조방법
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
WO2002003482A1 (de) 2000-07-04 2002-01-10 Infineon Technologies Ag Feldeffekttransistor
US6992319B2 (en) 2000-07-18 2006-01-31 Epitaxial Technologies Ultra-linear multi-channel field effect transistor
DE10134866B4 (de) 2000-07-18 2005-08-11 Lg Electronics Inc. Verfahren zum horizontalen Wachsenlassen von Kohlenstoff-Nanoröhren und Feldeffekttransistor, der die durch das Verfahren gewachsenen Kohlenstoff-Nanoröhren verwendet
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002047034A (ja) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) * 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US7115954B2 (en) 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6410371B1 (en) 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
FR2822293B1 (fr) * 2001-03-13 2007-03-23 Nat Inst Of Advanced Ind Scien Transistor a effet de champ et double grille, circuit integre comportant ce transistor, et procede de fabrication de ce dernier
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002298051A (ja) 2001-03-30 2002-10-11 Mizuho Bank Ltd ポイント交換サービス・システム
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
US20020171107A1 (en) 2001-05-21 2002-11-21 Baohong Cheng Method for forming a semiconductor device having elevated source and drain regions
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) * 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
DE10131276B4 (de) 2001-06-28 2007-08-02 Infineon Technologies Ag Feldeffekttransistor und Verfahren zu seiner Herstellung
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6764965B2 (en) 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
EP1306890A2 (en) 2001-10-25 2003-05-02 Matsushita Electric Industrial Co., Ltd. Semiconductor substrate and device comprising SiC and method for fabricating the same
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
KR100398874B1 (ko) 2001-11-21 2003-09-19 삼성전자주식회사 티자형의 게이트 전극을 갖는 모스 트랜지스터 및 그 제조방법
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
DE10161493C5 (de) * 2001-12-14 2008-09-18 Micromotion Gmbh Getriebe nach dem Spannungswellen-Prinzip mit Hohlwellen
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) * 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
JP2003229575A (ja) 2002-02-04 2003-08-15 Hitachi Ltd 集積半導体装置及びその製造方法
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
JP3634320B2 (ja) 2002-03-29 2005-03-30 株式会社東芝 半導体装置及び半導体装置の製造方法
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
FR2838238B1 (fr) 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
DE10232804A1 (de) 2002-07-19 2004-02-12 Piv Drives Gmbh Landmaschine mit stufenlosem Kegelscheibengetriebe
KR100477543B1 (ko) 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (en) 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
JP2004071996A (ja) 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US6956281B2 (en) 2002-08-21 2005-10-18 Freescale Semiconductor, Inc. Semiconductor device for reducing photovolatic current
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6812527B2 (en) 2002-09-05 2004-11-02 International Business Machines Corporation Method to control device threshold of SOI MOSFET's
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US8222680B2 (en) 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6864519B2 (en) 2002-11-26 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS SRAM cell configured using multiple-gate transistors
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
KR100487922B1 (ko) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US7214991B2 (en) 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6867425B2 (en) 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
WO2004059703A1 (en) 2002-12-19 2004-07-15 International Business Machines Corporation Finfet sram cell using inverted finfet thin film transistors
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
WO2004059726A1 (en) 2002-12-20 2004-07-15 International Business Machines Corporation Integrated antifuse structure for finfet and cmos devices
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US6949433B1 (en) 2003-02-07 2005-09-27 Fasl Llc Method of formation of semiconductor resistant to hot carrier injection stress
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
US7304336B2 (en) 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6828628B2 (en) 2003-03-05 2004-12-07 Agere Systems, Inc. Diffused MOS devices with strained silicon portions and methods for forming same
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
US6787854B1 (en) * 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (ja) * 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
JP4689969B2 (ja) * 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
US6888179B2 (en) 2003-04-17 2005-05-03 Bae Systems Information And Electronic Systems Integration Inc GaAs substrate with Sb buffering for high in devices
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
WO2004097943A1 (ja) * 2003-04-28 2004-11-11 Matsushita Electric Industrial Co., Ltd. 半導体装置とその製造方法
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20040219711A1 (en) 2003-04-30 2004-11-04 Bi-Chu Wu Method for manufacturing a polymer chip and an integrated mold for the same
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
WO2004107452A1 (ja) 2003-05-30 2004-12-09 Matsushita Electric Industrial Co., Ltd. 半導体装置およびその製造方法
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US7812340B2 (en) 2003-06-13 2010-10-12 International Business Machines Corporation Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7196372B1 (en) 2003-07-08 2007-03-27 Spansion Llc Flash memory device
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7013447B2 (en) 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7301206B2 (en) 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
JPWO2005022637A1 (ja) 2003-08-28 2007-11-01 日本電気株式会社 フィン型電界効果トランジスタを有する半導体装置
US6998301B1 (en) 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6955969B2 (en) 2003-09-03 2005-10-18 Advanced Micro Devices, Inc. Method of growing as a channel region to reduce source/drain junction capacitance
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
JP3855061B2 (ja) 2003-09-08 2006-12-06 独立行政法人情報通信研究機構 Si基板上への化合物半導体薄膜形成方法
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US6888199B2 (en) 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
EP1683193A1 (en) * 2003-10-22 2006-07-26 Spinnaker Semiconductor, Inc. Dynamic schottky barrier mosfet device and method of manufacture
US6946377B2 (en) * 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US7138320B2 (en) * 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
KR100521384B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 반도체 소자 및 그 제조 방법
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
JP2005183770A (ja) 2003-12-22 2005-07-07 Mitsubishi Electric Corp 高周波用半導体装置
US7569882B2 (en) 2003-12-23 2009-08-04 Interuniversitair Microelektronica Centrum (Imec) Non-volatile multibit memory cell and method of manufacturing thereof
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7005333B2 (en) 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US6997415B2 (en) * 2003-12-31 2006-02-14 Gulfstream Aerospace Corporation Method and arrangement for aircraft fuel dispersion
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
JPWO2005091374A1 (ja) 2004-03-19 2008-02-07 日本電気株式会社 半導体装置及びその製造方法
US6881635B1 (en) 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US7049654B2 (en) 2004-03-31 2006-05-23 Intel Corporation Memory with split gate devices and method of fabrication
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US7023018B2 (en) 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7915443B2 (en) 2006-11-16 2011-03-29 Allergan, Inc. Sulfoximines as kinase inhibitors
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US7355233B2 (en) 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US6864540B1 (en) 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
WO2005122276A1 (ja) 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7413957B2 (en) 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
KR100541657B1 (ko) 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7479684B2 (en) 2004-11-02 2009-01-20 International Business Machines Corporation Field effect transistor including damascene gate with an internal spacer structure
US20060138548A1 (en) 2004-12-07 2006-06-29 Thunderbird Technologies, Inc. Strained silicon, gate engineered Fermi-FETs
US20060148182A1 (en) 2005-01-03 2006-07-06 Suman Datta Quantum well transistor using high dielectric constant dielectric layer
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7071047B1 (en) 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7470951B2 (en) 2005-01-31 2008-12-30 Freescale Semiconductor, Inc. Hybrid-FET and its application as SRAM
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20060180859A1 (en) 2005-02-16 2006-08-17 Marko Radosavljevic Metal gate carbon nanotube transistor
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
JP4825526B2 (ja) 2005-03-28 2011-11-30 株式会社東芝 Fin型チャネルトランジスタおよびその製造方法
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
JP4718908B2 (ja) 2005-06-14 2011-07-06 株式会社東芝 半導体装置および半導体装置の製造方法
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7569443B2 (en) 2005-06-21 2009-08-04 Intel Corporation Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070069302A1 (en) 2005-09-28 2007-03-29 Been-Yih Jin Method of fabricating CMOS devices having a single work function gate electrode by band gap engineering and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070090408A1 (en) 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7341902B2 (en) 2006-04-21 2008-03-11 International Business Machines Corporation Finfet/trigate stress-memorization method
US7638843B2 (en) 2006-05-05 2009-12-29 Texas Instruments Incorporated Integrating high performance and low power multi-gate devices
KR100718159B1 (ko) 2006-05-18 2007-05-14 삼성전자주식회사 와이어-타입 반도체 소자 및 그 제조 방법
US20080017890A1 (en) 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7573059B2 (en) 2006-08-02 2009-08-11 Intel Corporation Dislocation-free InSb quantum well structure on Si using novel buffer architecture
US7439120B2 (en) 2006-08-11 2008-10-21 Advanced Micro Devices, Inc. Method for fabricating stress enhanced MOS circuits
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7646046B2 (en) 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
US7678632B2 (en) 2006-11-17 2010-03-16 Infineon Technologies Ag MuGFET with increased thermal mass
US20080128797A1 (en) 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US7655989B2 (en) 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US20080212392A1 (en) 2007-03-02 2008-09-04 Infineon Technologies Multiple port mugfet sram
JP4406439B2 (ja) 2007-03-29 2010-01-27 株式会社東芝 半導体装置の製造方法
CN102957800B (zh) 2012-11-12 2014-05-21 北京小米科技有限责任公司 一种移动终端待机方法及装置
JP6132521B2 (ja) 2012-11-27 2017-05-24 三菱重工業株式会社 未臨界度測定方法及び装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104701181A (zh) * 2009-12-30 2015-06-10 英特尔公司 多栅iii-v族量子阱结构
CN103325832A (zh) * 2012-03-20 2013-09-25 台湾积体电路制造股份有限公司 具有金属栅极应激源的finfet
US9240484B2 (en) 2012-03-20 2016-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
CN103325832B (zh) * 2012-03-20 2016-06-01 台湾积体电路制造股份有限公司 具有金属栅极应激源的finfet
CN103594512A (zh) * 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
CN103594512B (zh) * 2012-08-16 2017-09-05 中国科学院微电子研究所 半导体器件及其制造方法
US9112028B2 (en) 2012-09-28 2015-08-18 Intel Corporation Methods of containing defects for non-silicon device engineering
US9666583B2 (en) 2012-09-28 2017-05-30 Intel Corporation Methods of containing defects for non-silicon device engineering

Also Published As

Publication number Publication date
US20120012934A1 (en) 2012-01-19
US20060214231A1 (en) 2006-09-28
TW200629548A (en) 2006-08-16
US8067818B2 (en) 2011-11-29
US20170365677A1 (en) 2017-12-21
US7550333B2 (en) 2009-06-23
US20060086977A1 (en) 2006-04-27
US9190518B2 (en) 2015-11-17
US20130264642A1 (en) 2013-10-10
WO2006047116A1 (en) 2006-05-04
US20110062512A1 (en) 2011-03-17
DE112005002397T5 (de) 2007-09-20
US9741809B2 (en) 2017-08-22
US20140239358A1 (en) 2014-08-28
US8749026B2 (en) 2014-06-10
KR20070052337A (ko) 2007-05-21
US10236356B2 (en) 2019-03-19
DE112005002397B4 (de) 2014-03-27
US8502351B2 (en) 2013-08-06
TWI305053B (en) 2009-01-01
KR100879653B1 (ko) 2009-01-20
CN100481514C (zh) 2009-04-22
US20160005829A1 (en) 2016-01-07

Similar Documents

Publication Publication Date Title
CN100481514C (zh) 具有变细的下本体部分的非平面器件及制造方法
US10431671B2 (en) Fin field-effect transistor
US7456476B2 (en) Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR20190025589A (ko) 분리 병합된 소스/드레인 구조체를 가지는 반도체 디바이스를 제조하는 방법
KR101727386B1 (ko) 핀 구조물을 포함하는 반도체 디바이스 및 이의 제조 방법
KR20190024539A (ko) 반도체 디바이스용 핀 구조체
KR20180118586A (ko) 반도체 디바이스 및 그 제조 방법
WO2011088687A1 (zh) 一种隧穿场效应晶体管的制备方法
CN107785266B (zh) 半导体结构的制造方法
KR20210095989A (ko) 누설 방지 구조체 및 방법
TW202211327A (zh) 半導體裝置及其形成方法
US11742427B2 (en) Semiconductor device
US7569480B2 (en) Semiconductor devices and methods of fabricating the same
TW202013465A (zh) 半導體裝置及其製造方法
TW201801157A (zh) 半導體裝置及其製作方法
US10347629B2 (en) FinFET device
US11605720B2 (en) Metal gate cap
CN112786452B (zh) 半导体结构及其形成方法
TW202305882A (zh) 半導體結構之形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090422

Termination date: 20191013

CF01 Termination of patent right due to non-payment of annual fee