CN101002309A - 用于制造晶体管的低热预算氮化硅膜及其制备方法 - Google Patents

用于制造晶体管的低热预算氮化硅膜及其制备方法 Download PDF

Info

Publication number
CN101002309A
CN101002309A CNA2005800243801A CN200580024380A CN101002309A CN 101002309 A CN101002309 A CN 101002309A CN A2005800243801 A CNA2005800243801 A CN A2005800243801A CN 200580024380 A CN200580024380 A CN 200580024380A CN 101002309 A CN101002309 A CN 101002309A
Authority
CN
China
Prior art keywords
silicon nitride
substrate
chamber
nitride material
substrate surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800243801A
Other languages
English (en)
Inventor
亚新·王
瑟里亚纳雷亚南·伊耶
肖恩·索特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101002309A publication Critical patent/CN101002309A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

在一实施例中,提供一种用以沉积一内含氮化硅的膜层在一基板表面的方法,其包括将该基板放置在一处理室中,加热该基板至一预定温度,将该基板表面暴露在一烷氨硅烷化合物及至少一种不含氨的反应物下,及沉积一氮化硅材料至该基板表面上。在另一实施例中,提供一种用以在一处理室中沉积一氮化硅层于一基板表面上的方法,其包括加热该基板至一介于约400℃至约650℃间的温度,将该基板暴露在一烷氨硅烷化合物及一种诸如氢气、甲硅烷、甲硼烷、甲锗烷、烷类、碳氢化物、胺类、联氨类、其衍生物或其组合的反应物下。

Description

用于制造晶体管的低热预算氮化硅膜及其制备方法
技术领域
本发明涉及一种沉积含硅材料的方法,特别是,本发明实施例涉及用以沉积氮化硅材料于基板上的化学气相沉积技术。
背景技术
氮化硅材料的热化学气相沉积(CVD)技术是半导体元件前端工艺(front-end process)中最先进的技术。在热式CVD工艺中,以热能来打断进料化学物(典型是硅前驱物),以在该基板表面上制造出一固体薄膜层。或者,一热化学气相沉积工艺可活化包括该硅前驱物在内的两种或多种前驱物,以在一先进半导体元件制造期间产生一含有不均匀硅原子的膜层。
配备有一热源的沉积室可作为沉积含硅材料时的一种热式沉积室。特别是,典型可在500℃以上高温操作的一种批式火炉或单一晶片室。因为诸如低金属污染及严紧沉积属性(例如,每一步骤覆盖率均达到一致、从紧密结构特征到单独特征(一般称为“图案化微负载(pattern microloading)”)间的最小厚度变异及高质量膜层)之类的半导体元件制造规格要求,因此,前端工艺(即,用来制造具有功能性晶体管的工艺)一般在具有热-CVD能力的沉积室中执行。虽然等离子体强化-CVD(PE-CVD)工艺是一种用来沉积具有低热预算含硅材料的相当吸引人的方式,但是,等离子体离子却可能会破坏一元件中有源晶体管区域。
随着电子元件趋向微型化且功能更强之际,先进元件工艺,特别是小于90纳米技术的工艺,需要暴露在低温工艺下更短的时间,也即,低热预算。一般来说,在一制造顺序中,在一后续步骤中执行的热处理步骤的温度,必须不能高于在前一处理步骤中的温度,才能维持整体设计的元件性能的完整性。氮化硅膜层一般由热处理工艺来形成,且其可用于晶体管工艺中以作为在源极/漏极接触与栅极多(gate-poly)接触中的栅极材料和蚀刻终止层之间的绝缘充填物(isolation spacers)。在形成氮化硅充填物期间的热预算必须低于在一后-注入热硬化处理中的热预算,才能维持活化的有掺杂物质材料的完整性、降低短沟道渗漏及降低沟道迁移力劣变。在生成一蚀刻终止层时,通常将一氮化硅材料加热到500℃或更低温度,其比目前接触-硅化物生成工艺中所用温度还低。
传统氮化硅热-CVD工艺使用诸如硅烷、二氯硅烷、乙硅烷或六氯乙硅烷之类的硅源前驱物及诸如氨之类的氮源。先进半导体元件,特别是90纳米或具有更低尺寸特征的元件,对这些前驱物及其工艺规格的要求,使其不利于更进一步的应用。甲硅烷、二氯甲硅烷及氨,因本身极强的分子间键,使其不易在低于600℃下的温度解离,因此无法产生有用的前驱物物种。乙硅烷及六氯乙硅烷因具有较弱的Si-Si键,因此可在低于550℃的温度达到可以接受的沉积速度。但是,当其在低于550℃的温度下与诸如氨之类的氮源并用时,沉积速率却会因氨气解离度低而大幅降低。其它可用的氮源,例如非常稳定的氮气分子,需要一较高的解离温度或一等离子体。此外,温度低于550℃时,膜层性质不佳(例如,密度低且含氢量太高)且功效不佳(即,以乙硅烷所得膜层的每一步骤覆盖率与微负载甚至比市场可接受的程度还要差)。此外,氯前驱物(即,Cl2SiH2或Si2Cl6)通常会提高所沉积材料的氯含量。高氯含量可能会导致工艺套组出现缺陷或颗粒问题,且可能会抑制蚀刻选择性,使得膜层不适于作为蚀刻终止层之类的应用。
或者,可使用双(叔丁基氨基)甲硅烷(BTBAS或(tBu(H)N)2SiH2)作为热-CVD工艺中的硅前驱物。但是,BTBAS与氨气一起使用具有极低的沉积速率。举例来说,一含有BTBAS与氨气的沉积气体其沉积速率一般在550℃下每一分钟仅有数埃,因此不是一种有产业用途的工艺。
现有技术中,用以生成氮化硅作为侧壁结构的方法通常会导致半导体栅极失活。该氮化硅一般在高温下生成从而获得高沉积速率。举例来说,现有技术中使用二氯硅烷、BTBAS与氨气来沉积氮化硅的低压化学气相沉积法,需要在高于700℃的温度下进行,才能维持充分的氮化硅沉积速率,例如高于5/分钟。该高温也可确保一元件延伸区域中的掺杂物质具有高活化能。此高活化能导致掺杂物质迁移进入介电材料的晶粒边界和/或半导体栅极边缘中。此迁移导致掺杂物质的损失且之后,因栅极材料阻抗增加而使该氮导体栅极失活。
在另一实施例中,化硅材料可做为在介电层中生成一金属接触通孔时的一蚀刻终止层。因一源极/漏极与栅极硅化物(如,硅化镍)是在低于500℃的温度下生成,因此维持该栅极硅化物的完整性以确保金属与源极/漏极间接触良好和金属与栅极材料间接触良好,同时使阻抗或劣变降至最低。因硅化物劣变所致的金属接触间的电阻增加将造成电能消耗上升,并产生大量的热,使晶体管提前生效。
因此,业界亟需一种可以在低温下沉积出所需氮化硅膜层的方法,且该方法也可在可供制造界使用的沉积速率下生成氮化硅材料。
发明内容
在一实施例中,提供一种用以在一基板表面上沉积一内含氮化硅的膜层的方法,其包括将该基板放置在一处理室中,加热该基板至一预定温度,将该基板表面暴露在一烷氨硅烷化合物及至少一种不含氨的反应物下,及沉积一氮化硅材料至该基板表面上。
在另一实施例中,提供一种用以在一处理室中沉积一氮化硅层于一基板表面上的方法,其包括加热该基板至一介于约400℃至约650℃间的温度,将该基板暴露在一烷氨硅烷化合物及一种诸如氢气、甲硅烷、甲硼烷、甲锗烷、烷类(alkyls)、碳氢化物、胺类、联氨类、其衍生物或其组合的反应物下。
在另一实施例中,提供一种用以在一基板上沉积一氮化硅层的方法,其包括将该基板放置在一处理室中,加热该基板至一预定温度,将该基板表面暴露在双(叔丁基氨基)甲硅烷及至少一种不含氨的反应物下,以在该基板表面上生成一氮化硅材料。
在另一实施例中,提供一种用以在一基板上沉积一氮化硅层的方法,其包括将该基板放置在一处理室中,加热该基板至一预定温度,将该基板表面暴露在双(叔丁基氨基)甲硅烷及氢气下,以在该基板表面上生成一氮化硅材料。
在另一实施例中,提供一种用以在一基板上沉积一氮化硅层的方法,其包括将该基板放置在一处理室中,加热该基板至一预定温度,将该基板表面暴露在双(叔丁基氨基)甲硅烷及甲硅烷下或暴露在双(叔丁基氨基)甲硅烷及乙硅烷下,以在该基板表面上生成一氮化硅材料。
在另一实施例中,提供一种用以在一基板表面上生成一元件的方法,其包括在一基板上沉积一栅极材料及一氮化硅材料。该氮化硅材料是通过一种包括下列步骤的工艺所沉积而成的:将一基板放置在一处理室中,加热该基板至一预定温度,将该基板表面暴露在一不含氨的处理气体下,该不含氨的处理气体内含有一烷氨硅烷化合物及至少一种不含氨的反应物。
在另一实施例中,提供一种用以沉积在一基板表面上一内含氮化硅的膜层的方法,其包括将该基板放置在一处理室中,加热该基板至一预定温度,将该基板表面暴露在双(叔丁基氨基)甲硅烷及一碳氢化合物下或暴露在双(叔丁基氨基)甲硅烷及一烷基化合物下,以在该基板表面上生成一氮化硅材料。
附图说明
本发明的优点及特征可进一步由参照说明书及附图所示的其它部分而领会,其中相同参考数字在多数附图中表示相同部件。
图1A-1B示出一典型MOSFET晶体管的截面图,该MOSFET晶体管具有依据本发明一实施例所述至少部分沉积于其上的氮化硅层;
图2表示一典型双极晶体管的截面图,该双极晶体管具有依据本发明一实施例所述至少部分沉积于其上的氮化硅层;及
图3是所述一实施例中各种实验的图。
其中,附图标记:
10、30   基板          12    氮化硅层
13、14   含硅层        16    充填物
18       栅极阻障层    20、38偏移层
22       栅极层        24    蚀刻终止层
26       前金属介电层  32    N-型收集层
33       绝缘层        34    含硅化合物层
36       接触层        40    第二绝缘层
具体实施方式
本发明多个实施例中公开用以沉积氮化硅材料在一基板表面的方法。该方法一般包含将基板表面暴露在一诸如一烷氨硅烷化合物之类的硅前驱物及至少一不含氨的反应物下。在一较佳实施例中,该硅前驱物是双(叔丁基氨基)甲硅烷(BTBAS),至于该不含氨的反应物则可以是氢气、一硅烷化合物、一硼烷化合物、一锗烷化合物、一烷基化合物、一胺化合物或一联氨化合物。
可以各种沉积技术来沉积氮化硅材料。较佳是,氮化硅材料利用化学气相沉积技术来生成,例如,一热式CVD。热式CVD工艺利用同时流入一硅前驱物及一反应物至一处理室中来沉积该氮化硅材料。该处理室和/或基板被加热至一预定温度,以使反应剂间可发生一化学反应。一般来说,该硅前驱物与该反应物是同一流动方向且恒定。但是,可视所需工艺来提高或降低任一反应剂。除了现有技术的热式CVD外,其它可用以沉积氮化硅材料的工艺包括脉冲式CVD及原子层沉积(ALD)。在脉冲式CVD工艺中,反应剂(例如一硅前驱物及一反应物),同时以脉冲方式流入处理室中。在一ALD工艺中,反应剂(例如一硅前驱物及一反应物),则分别且依序以脉冲方式流入处理室中。可在ALD或CVD工艺中使用等离子体强化技术。在所公开工艺中,该氮化硅材料可被沉积至一单一个基板上或一批数个基板上。
在此所述的一“基板表面(substrate surface)”指欲沉积膜层于其上的任一基板表面或材料表面。举例来说,可在其上进行一处理的基板表面包括,但不限于,诸如硅、氧化硅、拉紧的硅、绝缘层上覆硅层(SOI)、绝缘层上覆锗层(GOI)、含有碳掺杂物质的氧化硅、氮化硅、氧氮化硅、含有碳掺杂物质的硅、锗、砷化镓、玻璃、蓝宝石之类的材料及任一其它材料例如金属、金属氮化物、金属合金、及其它导电材料,视所欲进行的应用而定。在一基板表面的阻障层、金属或金属氮化物包括钛、氮化钛、氮化钨、钽及氮化钽。可使用各种尺寸的基板,例如直径约200毫米或300毫米的晶片,及长方形或正方形面板。所述工艺实施例可沉积氮化硅材料在许多种基板及表面上。对本发明有用的基板包括,但不限于,半导体晶片,例如结晶硅(即,硅<100>或硅<111>)、氧化硅、拉紧的硅、绝缘层上覆硅层(SOI)、硅锗、有或无掺杂物质的多晶硅、有或无掺杂物质的硅晶片氧化硅及有或无图案化的晶片。表面包括裸露的晶片、膜层、层及具有介电、导电及阻障性质的材料,且包括氧化铝、多晶硅及其它栅极材料。基板可被暴露至一预处理工艺中,以研磨、蚀刻、还原、氧化、羟基化、硬化和/或烘烤该基板表面。
在整份说明书中,“氮化硅”材料、化合物、膜层或层一词应被解释成涵盖内含至少一硅及氮并可包括其它元素的组合物。在本发明实施例中生成和/或沉积的该氮化硅材料具有多种元素浓度。一般来说,氮化硅以一化学通式SiNx的形式被沉积。完全氮化的氮化硅具有Si3N4的化学式,使得其中的N∶Si比(原子)约为1.33。但是,也可在N∶Si比(原子)低至约0.7范围下生成氮化程度较低的氮化硅膜。因此,氮化硅材料的N∶Si比(原子)可介于约0.7至约1.33间,较佳是在介于约0.8至约1.3的范围内。氮化硅材料可包含除了硅及氮以外的其它元素,例如氧、碳、氢和/或硼。在某些实施例中,在该氮化硅材料中的氢浓度约为8%(重量%)或更高。在该氮化硅材料中的碳浓度约为3%(原子%)至约15%(原子%)。以所公开工艺生成的氮化硅材料可包括氮化硅(SiNx)、氧氮化硅(SiOxNy)、碳氮化硅(SiCxNy)、及碳氧氮化硅(SiCxOyNz)。可通过控制所述工艺条件来改变所生成氮化硅材料中的化学计量及组成比例。
可依据诸如所需的氮化硅材料组成,及电子特征的有无,特别是所用的硅前驱物或反应物及基板处理数量(例如,一单一基板或一批基板)等因素,来改变工艺条件。由硅前驱物及一或多种反应物所组成的混合物,可在不牺牲膜层质量或沉积速率下提供一较低的沉积温度。因此,良好的膜层质量包括折射率及湿蚀刻速率,及超过5/分钟的沉积速率。较佳是,该氮化硅膜以约10/分钟至约500/分钟的速率沉积,较佳是以约20/分钟至约200/分钟的速率沉积,更佳是以约50/分钟至约150/分钟的速率沉积,例如约100/分钟。该氮化硅膜厚度典型介于约10至约1,000间。举例来说,在一应用下,该氮化硅膜厚度介于约100至约1,000间;在另一应用下,该氮化硅膜厚度则在约100以下,例如约50或以下。
该氮化硅材料通常在约200℃至约800℃的温度间沉积,较佳是低于约700℃,例如介于约400℃至约650℃间,如500℃。该工艺室可以是单一晶片、低压热式-CVD室,例如SINGEN(购自美商应用材料公司)。该工艺室可以是一整合至多个处理平台的处理室,例如一CENTURA平台或PRODUCER台(购自美商应用材料公司)。这类处理平台可在不中断真空的情况下,同时执行数种工艺操作。在另一实施例中,该氮化硅材料是以一ALD工艺于描述在共同受让的美国专利申请序号10/032,284中的单一晶片处理室来进行沉积,该专利于2001年12月21日提申,标题为“Gas DeliveryApparatus and Method to Atomic Layer Deposition”,公告号2003-0079686,在此引用其全文并作为参考。
一般来说,该氮化硅沉积工艺在一加压至0.1托耳至约1,000托耳,较佳是约10托耳至约760托耳,更佳是约10托耳至约500托耳,例如约250托耳,的一单一晶片处理室中执行。该氮化硅沉积工艺也可在一加压至0.1托耳至约10托耳,较佳是约0.3托耳至约1.0托耳,例如约0.5托耳,的一批式炉处理室中执行。在各沉积步骤进行期间通入一流动气体和/或清洁气体至处理室中。一般来说,该流动气体和/或清洁气体的流速范围介于约100sccm至约3,000sccm间,视工艺室的设计及所用反应物种类而定。该流动气体和/或清洁气体可以是氩气、氦气、氮气、氢气、生成气体或其组合。在一实施例中,可在有无该流动气体情况下生成一等离子体,但较佳是需包括氩气和/或氮气。
在一实施例中,在沉积该氮化硅材料的单一晶片、热式CVD工艺期间,将一硅前驱物及一反应物同时流入该处理室中。该硅前驱物以约1sccm至约300sccm的流速,较佳是约1sccm至约100sccm的流速,被流入至处理室中;BTBAS以约13sccm至约130sccm的流速,当与一载气并用时其约相当于约0.1g/min至约1.0g/min的流速,被流入至处理室中。该反应物以约100sccm至约3,000sccm的流速,较佳是约500sccm至约3,000sccm的流速,更佳是以约1,000sccm至约2,000sccm的流速,被流入至处理室中。可相对该硅前驱物的流速或浓度来改变该反应物的流速或浓度。在单一晶片处理的CVD工艺期间,一反应物/硅前驱物(即,H2/BTBAS或SiH4/BTBAS)的摩尔比为至少约10,较佳是介于约10至约100间,从约30至约50间。
在另一实施例中,在沉积该氮化硅材料的一批式晶片、热式CVD工艺期间,将一硅前驱物及一反应物同时流入该处理室中。该硅前驱物以约1sccm至约300sccm的流速,较佳是约1sccm至约100sccm的流速,被流入至处理室中。一旦达到恒定的基本压力时,即可以约100sccm至约3,000sccm的流速,较佳是约500sccm至约1,000sccm的流速,将反应物流入至处理室中。可相对该硅前驱物的流速或浓度、批式处理室的容积及所欲处理的晶片数目,来改变该反应物的流速或浓度。在批式晶片处理的CVD工艺期间,一反应物/硅前驱物(即,H2/BTBAS或SiH4/BTBAS)的摩尔比通常低于约30,较佳是低于约20,更佳是低于约10,例如,约8。虽然在批式晶片处理的CVD工艺期间,该反应物/硅前驱物的摩尔比通常低于约30,但在某些实施例中,却需要较高的比例,例如约100。
在另一实施例中,在沉积该氮化硅材料的一ALD工艺期间,依序将一硅前驱物及一反应物以脉冲方式流入该处理室中。该硅前驱物以约1sccm至约300sccm的流速,较佳是约10sccm至约100sccm的流速,被流入至处理室中。在一实施例中,BTBAS以约13sccm至约130sccm的流速,其约相当于约0.1g/min至约1.0g/min的流速,被流入至处理室中(视该BTBAS的分压及暴露的表面积而定)。该反应物以约100sccm至约3,000sccm或更高的流速,较佳是高于约500sccm的流速,例如约500sccm至约3,000sccm的流速,较佳是从约1,000sccm至约2,000sccm的流速,被流入至处理室中。
一般来说,一ALD工艺循环包括脉冲送入一硅前驱物,暴露该处理室至一清洁气体下,脉冲送入一反应物,及暴露该处理室至一清洁气体下。重复该循环直到该氮化硅材料被沉积到一预定厚度。该硅前驱物、反应物或清洁气体的时间可分别为约0.05秒至10秒间,较佳是从约0.1秒至约1秒间,例如约0.5秒。
“原子层沉积:(atomic layer deposition)”或“循环式沉积(cyclicaldeposition)”一词在此是指顺序导入两种或多种反应性的化合物以在一基板表面沉积一材料层。或者,该二、三或多种反应性的化合物也可被引入至一处理室中的反应区内。通常,每一反应性的化合物间隔一段时间才引入,以使每一化合物可粘附在该基板表面和/或在该基板表面上反应。在一方案中,以一第一时间间隔(a first time delay)将一第一前驱物或化合物A(即,硅前驱物)脉冲送入至该反应区。接下来,以一第二时间间隔(a first time delay)将一第二前驱物或化合物B(即,反应物)脉冲送入至该反应区。在每一时间间隔中,将一诸如氮气之类的清洁气体引入至该处理室,以清洁该反应区或移除该反应区中任何残留的反应化合物或副产物。或者,可在整个沉积工艺期间,连续流入该清洁气体,使得在该反应物脉冲间的时间间隔期间,仅有清洁气体被流入该反应区。或者,脉冲送入该反应性的化合物,直到已在该基板表面上获得所需厚度的沉积层为止。无论是哪一种情况,在该ALD工艺的脉冲送入该化合物A,清洁气体,脉冲送入该化合物B及清洁气体是一循环。一循环可以化合物A或化合物B来开始,并依序进行该循环直到获得所需厚度的沉积层为止。在另一实施例中,一含有该化合物A的第一前驱物、一含有该化合物B的第二前驱物及一含有该化合物C的第三前驱物被单独、分开并以脉冲方式送入该处理室。或者,一第一前驱物的脉冲时间可与一第二前驱物的脉冲时间重叠,至于一第三前驱物的脉冲时间则并不与任一第一或第二前驱物的脉冲时间重叠。
一氮化硅材料层以化学方法由一硅前驱物沉积而成。该硅前驱物一般包含氮,例如氨基硅烷。可作为硅前驱物的特定有用的氨基硅烷为具有(RR’N)4-nSiHn通式的烷氨基硅烷类,其中R及R’分别可为氢、甲基、乙基、丙基、丁基、戊基或芳基且n=0、1、2或3。在一实施例中,R是氢且R’分别是一烷基,例如甲基、乙基、丙基、丁基或戊基,举例来说,R’是丁基,例如叔丁基且n是2。在另一实施例中,R及R’分别是一烷基,例如甲基、乙基、丙基、丁基及戊基或一芳基。对所述沉积工艺有用的硅前驱物包括(tBu(H)N)3SiH、(tBu(H)N)2SiH2、(tBu(H)N)SiH3、(iPr(H)N)3SiH、(iPr(H)N)2SiH2 (iPr(H)N)SiH3及其衍生物。较佳是,该硅前驱物为双(叔丁基氨基)甲硅烷((tBu(H)N)2SiH2或BTBAS)。在其它实施例中,该硅前驱物可以是具有(RR’N)4-nSiR”n通式的烷氨基硅烷类,其中R及R’分别可为氢、甲基、乙基、丙基、丁基、戊基或芳基;R”分别为氢或烷基(例如,甲基、乙基、丙基、丁基或戊基)、芳基或卤素(例如,F、Cl、Br或I),且n=0、1、2或3。
可以一反应物(较佳是,一不含氨的反应物)化学还原该硅前驱物来化学沉积该氮化硅材料层。在一反应期间,一反应物可于两分子间进行化学还原(也即,转移电子)。虽然该硅前驱物(主要为一烷氨硅烷)可在一反应物存在下被热分解,而生成一氮化硅材料,该反应物可通过提高沉积速率来使反应加快,即使在低温下也是这样。不限于任何理论或机制,一般相信该反应物有助于反应因为其可将烷氨硅烷中的烷基官能团从烷氨基还原,例如从BTBAS中生成异丁烯和/或叔丁基氨。
对所述沉积工艺有用的反应物包括氢、硅烷、锗烷、硼烷、碳氢化物和/或烷基类、膦类(phosphines)、胺类、联氨类、叠氮化合物(azidcs)、其衍生物或其组合。硅烷包括甲硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、二氯甲硅烷(Cl2SiH2)、六氯乙硅烷(Si2Cl6)、烷基甲硅烷(即,MeSiH3)或其衍生物。锗烷包括甲锗烷(GeH4)、乙锗烷(Ge2H6)、丙锗烷(Ge3H8)、烷基甲锗烷(即,MeGeH3)或其衍生物。硼烷包括甲硼烷(BH3)、乙硼烷(B2H6)、烷基甲硼烷(即,Et3B)、其加成物或其衍生物。碳氢化物和/或烷基类包括甲烷、乙烷、丙烷、丁烷、乙烯、乙炔、丙烯、丙炔、丁烯、丁炔或其衍生物。膦类包括(PH3)、甲基膦(MePH2)、二甲基膦(Me2PH)或其衍生物。胺类及联氨类包括(H3Si)3N、(Me3Si)3N、Me3N、Et3N、H2NNH2、(Mc)HNNH2、Me2NNH2、(Me)HNN(H)Me、Me2NNMe2tBuNNtBu或其衍生物。在一较佳实施例中,该反应物是氢、甲硅烷、乙硅烷或其组合。
在某些实施例中,可在沉积工艺中添加一氧气前驱物,其包括该硅前驱物及该反应物,以生成氧化硅或氮化硅材料,例如氧氮化硅。可用于所述沉积工艺中的氧气前驱物包括原子-O、氧气(O2)、臭氧(O3)、H2O、H2O2、有机过氧化物、醇类、N2O、NO、NO2、N2O5、其衍生物或其组合。
因为多种物理性质的原因,氮化硅材料被沉积在电子特征/元件上。氮化硅材料作为电子绝缘层以及阻障材料。当氮化硅材料被放在诸如一栅极材料与一电极之间时,其阻障特性可抑制不相似材料或元素间的离子扩散现象。因此,氮化硅材料可作为阻障层、保护层、偏移层、充填层及帽盖层。氮化硅材料的另一物理性质是其硬度很高。在某些应用中,氮化硅材料可作为各种光学元件及工具的一保护涂层。氮化硅材料的另一物理性质是其对氧化硅的蚀刻选择性,也即,氮化硅材料可作为一氧化硅介电层下的蚀刻终止层,以正确地控制蚀刻深度,而不致发生过度蚀刻或蚀刻不足。
在某些实施例中,可沉积氮化硅材料作为MOSFET及双极性晶体管中的各种沉积层,如图1A-2中所示。图1A显示沉积在MOSFET中的氮化硅材料包含凹陷的源极/漏极及升高的源极/漏极两种。源极/漏极层12通过离子注入该基板10而生成。一般来说,该基板10是含有掺杂物质的n-型,至于该源极/漏极层则是含有掺杂物质的p-型。含硅层13(其通常是Si、SiGe或SiGcC)是以CVD法专一地外延生长在该源极/漏极层12上或直接生长在基板10上。含硅层14也以CVD法专一地外延生长在该含硅层13上。一栅极阻障层18可桥接该被分段的含硅层13。一般来说,栅极阻障层18可由氧化硅、氧氮化硅或氧化铪所组成。一充填物16(其一般是一诸如氮化物/氧化物/氮化物堆栈(Si3N4/SiO2/Si3N4)之类的绝缘材料)可部分包围住该栅极阻障层18。或者,该充填物16可以是一层以所公开方法沉积而成的均匀的氮化硅材料层,例如,氮化硅或氧氮化硅。栅极层22(例如,多晶硅层)任一面可具有一充填物16或偏移层20。偏移层20可由氮化硅材料组成,例如,以所述方法沉积而成的氮化硅层。
图1B显示可供沉积在一MOSFET上方的源极/漏极与栅极接触通孔蚀刻用的蚀刻终止层24。蚀刻终止层24可由一氮化硅材料组成,例如,以所述方法沉积而成的氮化硅层。一前-金属介电层26(即,氧化硅)沉积在蚀刻终止层24上并包含形成于其中的接触洞通孔28。
在另一实施例中,图2绘示出在本发明实施例中于一双极晶体管中沉积数层氮化硅材料。该含硅化合物层34沉积在先前沉积于基板30上的一n-型收集层33上。该晶体管还包括绝缘层33(即,SiO2、SiOxNy或Si3N4)、接触层(即,重度掺杂的聚-硅)、偏移层38(即,Si3N4)及一第二绝缘层40(即,SiO2、SiOxNy或Si3N4)。绝缘层33及40和偏移层38可采用单独以一氮化硅材料(例如,以所公开方法沉积而成的氧氮化硅、碳氮化硅、和/或氮化硅)方式沉积。较佳是,绝缘层33及40是氧氮化硅,且偏移层38是氮化硅。
比较实施例
图3显示以热工艺由BTBAS沉积而成的氮化硅材料的数个比较实施例。该比较显示一诸如氢气的反应物,可在有或无氨存在下,提高氮化硅材料的沉积速率。事实上,使用氨气作为反应物,倾向抑制以BTBAS及氢气所生成的氮化硅材料。
测试1及测试2是在650℃下执行,测试3及测试4则是在600℃下执行,其中测试2及测试4是在一氨气流速约1,000rpm下执行。对测试1来说,所决定出的氮化硅材料的沉积速率,在氢气流速分别为0sccm、1,500sccm及3,000sccm下时,分别为234/分钟、348/分钟及342/分钟。对测试2来说,所决定出的氮化硅材料的沉积速率,在氢气流速分别为0sccm、1,000sccm及2,000sccm下时,分别为153/分钟、203/分钟及202/分钟。当以氢气进行沉积时,BTBAS被热分解而生成该氮化硅材料的速率比氨气存在时快了约53%。因此,氨气似乎会干涉氮化硅的生成过程。但是,当将氨气与氢气同时流入时,沉积速率会上升,虽然不会像不含氨气的工艺的速率那么快(参见测试1及测试2中的第二及第三数据点)。
对测试3来说,所决定出的氮化硅材料的沉积速率,在氢气流速分别为0sccm、1,500sccm及3,000sccm下时,分别为6/分钟、106/分钟及103/分钟。对测试4来说,所决定出的氮化硅材料的沉积速率,在氢气流速分别为0sccm、1,000sccm及2,000sccm下时,分别为30/分钟、43/分钟及43/分钟。测试3及4与测试1及2间有极好的相关性,但因温度较低因此沉积速率较慢。整体来说,添加一诸如氢气的反应物至一包含有BTBAS以沉积氮化硅材料的工艺中,可提高当其温度并不利于生成氮化硅材料的工艺的沉积速率。测试3中的第二及第三数据点显示即使在600℃下,氮化硅材料仍可以约100/分钟的速率沉积。
实施例
以下实施例不应被理解成为本发明的限制条件。在实施例1中,可将美商应用材料公司的CENTURA300mm SIGEN低压、热式-CVD处理室用来处理单一晶片。在实施例7-12中,则可使用可进行批处理的热式-CVD处理室/烤炉。在实施例13-18中,可在一单一晶片处理中使用美商应用材料公司的300mmALD处理室。
实施例1-将一直径300毫米的基板放在处理室中并在约250torr的压力下加热到约550℃。将一包含氢气(流速约2,000sccm)及BTBAS(tBu(H)N)2SiH2)(流速约50sccm)的处理气体暴露至该基板表面。以约60/分钟的速率沉积一氮化硅材料约5分钟,以产生一约300的厚度。
实施例2-将一直径300毫米的基板放在处理室中并在约450torr的压力下加热到约475℃。将一包含甲硅烷(流速约1,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约30sccm)的处理气体暴露至该基板表面。以约50/分钟的速率沉积一氮化硅材料约5分钟,以产生一约250的厚度。
实施例3-将一直径300毫米的基板放在处理室中并在约450torr的压力下加热到约425℃。将一包含乙硅烷(Si2H6)(流速约1,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约25sccm)的处理气体暴露至该基板表面。以约40/分钟的速率沉积一氮化硅材料约5分钟,以产生一约200的厚度。
实施例4-将一直径300毫米的基板放在处理室中并于约550torr的压力下加热到约550℃。将一包含甲烷(流速约3,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约100sccm)的处理气体暴露至该基板表面。以约50/分钟的速率沉积一氮化硅材料约6分钟,以产生一约300的厚度且包含约10%的碳。
实施例5-将一直径300毫米的基板放在处理室中并于约450torr的压力下加热到约450℃。将一包含甲锗烷(流速约1,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约25sccm)的处理气体暴露至该基板表面。以约40/分钟的速率沉积一氮化硅材料约5分钟,以产生一约200的厚度。
实施例6-将一直径300毫米的基板放在处理室中并于约500torr的压力下加热到约475℃。将一包含乙硼烷(B2H6)(流速约1,500sccm)及BTBAS((tBu(H)N)2SiH2)(流速约35sccm)的处理气体暴露至该基板表面。以约40/分钟的速率沉积一氮化硅材料约5分钟,以产生一约200的厚度。
实施例7-将一直径300毫米的基板放在处理室中并于约0.5torr的压力下加热到约500℃。将一包含氢气(流速约200sccm)及BTBAS(tBu(H)N)2SiH2)(流速约15sccm)的处理气体暴露至该基板表面。以约10/分钟的速率沉积一氮化硅材料约25分钟,以产生一约250的厚度。
实施例8-将一直径300毫米的基板放在处理室中并于约0.7torr的压力下加热到约450℃。将一包含甲硅烷(流速约100sccm)及BTBAS(tBu(H)N)2SiH2)(流速约15sccm)的处理气体暴露至该基板表面。以约5/分钟的速率沉积一氮化硅材料约40分钟,以产生一约200的厚度。
实施例9-将一直径300毫米的基板放在处理室中并于约0.5torr的压力下加热到约450℃。将一包含乙硅烷(流速约100sccm)及BTBAS((tBu(H)N)2SiH2)(流速约12sccm)的处理气体暴露至该基板表面。以约10/分钟的速率沉积一氮化硅材料约30分钟,以产生一约300的厚度。
实施例10-将一直径300毫米的基板放在处理室中并于约1.0torr的压力下加热到约600℃。将一包含甲烷(流速约300sccm)及BTBAS((tBu(H)N)2SiH2)(流速约20sccm)的处理气体暴露至该基板表面。以约10/分钟的速率沉积一氮化硅材料约30分钟,以产生一约300的厚度。
实施例11-将一直径300毫米的基板放在处理室中并于约0.5torr的压力下加热到约450℃。将一包含甲锗烷(流速约100sccm)及BTBAS((tBu(H)N)2SiH2)(流速约10sccm)的处理气体暴露至该基板表面。以约20/分钟的速率沉积一氮化硅材料约20分钟,以产生一约400的厚度。
实施例12-将一直径300毫米的基板放在处理室中并于约0.7torr的压力下加热到约475℃。将一包含二硼烷(流速约150sccm)及BTBAS((tBu(H)N)2SiH2)(流速约20sccm)的处理气体暴露至该基板表面。以约20/分钟的速率沉积一氮化硅材料约20分钟,以产生一约400的厚度。
实施例13-将一直径300毫米的基板放在处理室中并于约10torr的压力下加热到约550℃。将一包含Ar(流速约2,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约25sccm)的处理气体流入处理室内约0.5秒。基板上会吸附一层BTBAS,并以气体清洗处理室约1秒以移除过量的工艺气体。将氢气(流速约3,000sccm)暴露至基板表面约1秒。吸附在基板表面的该层BTBAS会被还原而在基板表面生成氮化硅材料。以气体清洗处理室约1秒以移除过量的工艺气体、副产物及污染物。以约30/分钟的速率沉积一氮化硅材料约5分钟,以产生一约150的厚度。
实施例14-将一直径300毫米的基板放在处理室中并于约10torr的压力下加热到约550℃。将一包含Ar(流速约2,000sccm)及BTBAS(tBu(H)N)2SiH2)(流速约25sccm)的处理气体流入处理室内约0.5秒。基板上会吸附一层BTBAS,并以气体清洗处理室约1秒以移除过量的工艺气体。将一含有Ar(流速约1,000sccm)及甲硅烷(500sccm)的工艺气体以脉冲方式通入处理室中约0.5秒。吸附在基板表面的该层BTBAS会被还原而在基板表面生成氮化硅材料。以气体清洗处理室约1秒以移除过量的工艺气体、副产物及污染物。以约40/分钟的速率沉积一氮化硅材料约5分钟,以产生一约200的厚度。
实施例15-将一直径300毫米的基板放在处理室中并于约10torr的压力下加热到约550℃。将一包含Ar(流速约2,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约25sccm)的处理气体流入处理室内约0.5秒。基板上会吸附一层BTBAS,并以气体清洗处理室约1秒以移除过量的工艺气体。将一含有Ar(流速约1,000sccm)及乙硅烷(500sccm)的工艺气体以脉冲方式通入处理室中约0.5秒。吸附在基板表面的该层BTBAS会被还原而在基板表面生成氮化硅材料。以气体清洗处理室约1秒以移除过量的工艺气体、副产物及污染物。以约40/分钟的速率沉积一氮化硅材料约5分钟,以产生一约200的厚度。
实施例16-将一直径300毫米的基板放在处理室中并于约10torr的压力下加热到约600℃。将一包含N2(流速约2,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约25sccm)的处理气体流入处理室内约0.5秒。基板上会吸附一层BTBAS,并以气体清洗处理室约1秒以移除过量的工艺气体。将一含有N2(流速约1,000sccm)及甲烷(500sccm)的工艺气体以脉冲方式通入处理室中约0.5秒。吸附在基板表面的该层BTBAS会被还原而在基板表面生成氮化硅材料。以气体清洗处理室约1秒以移除过量的工艺气体、副产物及污染物。以约25/分钟的速率沉积一氮化硅材料约5分钟,以产生一约125的厚度。
实施例17-将一直径300毫米的基板放在处理室中并于约10torr的压力下加热到约550℃。将一包含N2(流速约2,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约25sccm)的处理气体流入处理室内约0.5秒。基板上会吸附一层BTBAS,并以气体清洗处理室约1秒以移除过量的工艺气体。将一含有N2(流速约1,000sccm)及锗烷(500sccm)的工艺气体以脉冲方式通入处理室中约0.5秒。吸附在基板表面的该层BTBAS会被还原而在基板表面生成氮化硅材料。以气体清洗处理室约1秒以移除过量的工艺气体、副产物及污染物。以约30/分钟的速率沉积一氮化硅材料约5分钟,以产生一约150的厚度。
实施例18-将一直径300毫米的基板放在处理室中并于约10torr的压力下加热到约550℃。将一包含N2(流速约2,000sccm)及BTBAS((tBu(H)N)2SiH2)(流速约25sccm)的处理气体流入处理室内约0.5秒。基板上会吸附一层BTBAS,并以气体清洗处理室约1秒以移除过量的工艺气体。将一含有N2(流速约1,000sccm)及乙硼烷(500sccm)的工艺气体以脉冲方式通入处理室中约0.5秒。吸附在基板表面的该层BTBAS会被还原而在基板表面生成氮化硅材料。以气体清洗处理室约1秒以移除过量的工艺气体、副产物及污染物。以约40/分钟的速率沉积一氮化硅材料约5分钟,以产生一约200的厚度。
虽然本发明已通过实施例说明描述如上,但前述说明不应视为本发明范围的限制,且现有本领域普通技术人员在不悖离本发明精神范畴下,还可对本发明作各种改良、修饰或替换,该改良、修饰或替换仍应视为附属的权利要求书所涵盖的范畴。

Claims (46)

1、一种在一基板表面上沉积一氮化硅层的方法,其至少包含下列步骤:
放置一基板在一处理室中;
加热该基板至一预定温度;
将该基板表面暴露在一烷氨硅烷化合物及至少一不含氨的反应物下;及
沉积一氮化硅材料在该基板表面上。
2、根据权利要求1所述的方法,其中该烷氨硅烷化合物具有一(RR’N)4-nSiHn的通式,其中R及R’分别选自由氢、甲基、乙基、丙基、丁基、戊基所组成的群组中,且n=0、1、2或3。
3、根据权利要求2所述的方法,其中R是氢且R’是选自由甲基、乙基、丙基、丁基及戊基所组成的群组中。
4、根据权利要求3所述的方法,其中R’是丁基且n=2。
5、根据权利要求4所述的方法,其中该烷氨硅烷化合物是双(叔丁基氨基)甲硅烷,且至少一不含氨的反应物是氢、甲硅烷或其组合。
6、根据权利要求2所述的方法,其中该至少一不含氨的反应物是选自氢气、甲硅烷(SiH4)、乙硅烷(Si2H6)、甲锗烷(GeH4)、甲烷、甲硼烷(BH3)、乙硼烷(B2H6)、烷基甲硼烷(Et3B)、(H3Si)3N、Me3N、Et3N、H2NNH2、Me2NNMe2、其衍生物及其组合。
7、根据权利要求6所述的方法,其中该预定的温度介于约400℃至约650℃间。
8、根据权利要求7所述的方法,其中该烷氨硅烷化合物的流速介于约1sccm至约100sccm间。
9、根据权利要求8所述的方法,其中该至少一不合氨的反应物的流速约为500sccm或以上。
10、根据权利要求2所述的方法,其中该氮化硅材料的N∶Si的原子比介于约0.8至约1.3间。
11、根据权利要求10所述的方法,其中该氮化硅材料中的碳浓度介于约3at%至约15at%间。
12、一种在一处理室中的一基板表面上沉积一氮化硅层的方法,其至少包含下列步骤:
加热该基板至一介于约400℃至约600℃间的温度范围;
将该基板表面暴露在一烷氨硅烷化合物及一反应物下以沉积一氮化硅材料于该基板表面上,该反应物选自由氢气、甲硅烷、甲硼烷、甲锗烷、烷类、胺类、联氨类、其衍生物或其组合所组成的群组中。
13、根据权利要求12所述的方法,其中该烷氨硅烷化合物具有一(RR’N)4-nSiHn的通式,其中R及R’分别选自由氢、甲基、乙基、丙基、丁基及戊基所组成的群组中,且n=0、1、2或3。
14、根据权利要求13所述的方法,其中R是氢且R’是选自由甲基、乙基、丙基、丁基及戊基所组成的群组中。
15、根据权利要求14所述的方法,其中R’是丁基且n=2。
16、根据权利要求15所述的方法,其中该烷氨硅烷化合物是双(叔丁基氨基)甲硅烷且该反应物是氢、甲硅烷或其组合。
17、根据权利要求13所述的方法,其中该反应物是选自氢气、甲硅烷(SiH4)、乙硅烷(Si2H6)、甲锗烷(GeH4)、甲烷、甲硼烷(BH3)、乙硼烷(B2H6)、烷基甲硼烷(Et3B)、(H3Si)3N、Me3N、Et3N、H2NNH2、Me2NNMe2、其衍生物及其组合。
18、根据权利要求17所述的方法,其中该烷氨硅烷化合物的流速介于约1sccm至约100sccm间。
19、根据权利要求18所述的方法,其中该反应物的流速约为500sccm或以上。
20、根据权利要求19所述的方法,其中该处理室是一沉积室,其选自一化学气相沉积室、一热式化学气相沉积室、一原子层式化学气相沉积室及一等离子体强化气相沉积室。
21、根据权利要求13所述的方法,其中该氮化硅材料的N∶Si的原子比介于约0.8至约1.3间。
22、根据权利要求21所述的方法,其中该氮化硅材料中的碳浓度介于约3at%至约15at%间。
23、一种在一基板上沉积一氮化硅层的方法,其至少包含下列步骤:
放置一基板在一处理室中;
加热该基板至一预定温度;及
将该基板表面暴露在双(叔丁基氨基)甲硅烷及至少一不含氨的反应物下以沉积一氮化硅材料在该基板表面上。
24、根据权利要求23所述的方法,其中该氮化硅材料的N∶Si的原子比介于约0.8至约1.3间。
25、根据权利要求24所述的方法,其中该氮化硅材料中的碳浓度介于约3at%至约15at%间。
26、根据权利要求25所述的方法,其中该至少一不含氨的反应物是选自氢气、甲硅烷(SiH4)、乙硅烷(Si2H6)、甲锗烷(GeH4)、甲烷、甲硼烷(BH3)、乙硼烷(B2H6)、烷基甲硼烷(Et3B)、(H3Si)3N、Me3N、Et3N、H2NNH2、Me2NNMe2、其衍生物及其组合。
27、根据权利要求26所述的方法,其中该双(叔丁基氨基)甲硅烷的流速介于约1sccm至约100sccm间。
28、根据权利要求27所述的方法,其中该至少一不含氨的反应物的流速约为500sccm或以上。
29、根据权利要求28所述的方法,其中该预定的温度介于约400℃至约650℃间。
30、根据权利要求29所述的方法,其中该处理室是一沉积室,其选自一化学气相沉积室、一热式化学气相沉积室、一原子层式化学气相沉积室及一等离子体强化气相沉积室。
31、一种在一基板上沉积一氮化硅层的方法,其至少包含下列步骤:
放置一基板在一处理室中;
加热该基板至一预定温度;及
将该基板表面暴露在双(叔丁基氨基)甲硅烷及氢气下以沉积一氮化硅材料在该基板表面上。
32、根据权利要求31所述的方法,其中该氮化硅材料的N∶Si的原子比介于约0.8至约1.3间。
33、根据权利要求32所述的方法,其中该氮化硅材料中的碳浓度介于约3at%至约15at%间。
34、根据权利要求33所述的方法,其中该预定的温度介于约400℃至约650℃间。
35、根据权利要求34所述的方法,其中该双(叔丁基氨基)甲硅烷的流速介于约1sccm至约100sccm间。
36、根据权利要求35所述的方法,其中该氢气的流速约为500sccm或以上。
37、根据权利要求36所述的方法,其中该处理室是一沉积室,其选自一化学气相沉积室、一热式化学气相沉积室、一原子层式化学气相沉积室及一等离子体强化气相沉积室。
38、一种在一基板上沉积一氮化硅层的方法,其至少包含下列步骤:
放置一基板在一处理室中;
加热该基板至一预定温度;及
将该基板表面暴露在双(叔丁基氨基)甲硅烷及甲硅烷下或是暴露在双(叔丁基氨基)甲硅烷及乙硅烷下;及
沉积一氮化硅材料在该基板表面上。
39、根据权利要求38所述的方法,其中该氮化硅材料的N∶Si的原子比介于约0.8至约1.3间。
40、根据权利要求39所述的方法,其中该氮化硅材料中的碳浓度介于约3at%至约15at%间。
41、根据权利要求40所述的方法,其中该预定的温度介于约400℃至约650℃间。
42、根据权利要求41所述的方法,其中该双(叔丁基氨基)甲硅烷的流速介于约1sccm至约100sccm间。
43、根据权利要求42所述的方法,其中该甲硅烷或乙硅烷的流速约为500sccm或以上。
44、根据权利要求43所述的方法,其中该处理室是一沉积室,其选自一化学气相沉积室、一热式化学气相沉积室、一原子层式化学气相沉积室及一等离子体强化气相沉积室。
45、一种在一基板表面上形成一元件的方法,其至少包含下列步骤:
沉积一栅极材料及一氮化硅材料在一基板上,其中该氮化硅材料是以一包含下列步骤的工艺所沉积而成的,该工艺包含;
放置该基板在一处理室中;
加热该基板至一预定温度;及
将该基板表面暴露在一不含氨的工艺气体下,该工艺气体包含一烷氨硅烷化合物及至少一不含氨的反应物。
46、一种在一基板上沉积一氮化硅层的方法,其至少包含下列步骤:
放置一基板在一处理室中;
加热该基板至一预定温度;及
将该基板表面暴露在双(叔丁基氨基)甲硅烷及一碳氢化合物下或是暴露在双(叔丁基氨基)甲硅烷及一烷基化合物下,以沉积一氮化硅材料在该基板表面上。
CNA2005800243801A 2004-07-23 2005-07-12 用于制造晶体管的低热预算氮化硅膜及其制备方法 Pending CN101002309A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/898,547 US20060019032A1 (en) 2004-07-23 2004-07-23 Low thermal budget silicon nitride formation for advance transistor fabrication
US10/898,547 2004-07-23

Publications (1)

Publication Number Publication Date
CN101002309A true CN101002309A (zh) 2007-07-18

Family

ID=35657515

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800243801A Pending CN101002309A (zh) 2004-07-23 2005-07-12 用于制造晶体管的低热预算氮化硅膜及其制备方法

Country Status (6)

Country Link
US (1) US20060019032A1 (zh)
JP (1) JP4896016B2 (zh)
KR (1) KR100849468B1 (zh)
CN (1) CN101002309A (zh)
TW (1) TW200604371A (zh)
WO (1) WO2006033699A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102295657A (zh) * 2010-06-02 2011-12-28 气体产品与化学公司 有机氨基硅烷前体及沉积包含该前体的薄膜的方法
CN103088311A (zh) * 2011-10-28 2013-05-08 东京毅力科创株式会社 晶种层的形成方法以及含硅薄膜的成膜方法
CN108922846A (zh) * 2018-06-29 2018-11-30 中国科学院微电子研究所 包括氮化硅层的半导体结构的制作方法与mems器件
CN110178201A (zh) * 2017-01-13 2019-08-27 应用材料公司 用于低温氮化硅膜的方法及设备

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
FR2900276B1 (fr) * 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101444707B1 (ko) * 2008-06-03 2014-09-26 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막의 저온 증착
US20100055442A1 (en) * 2008-09-03 2010-03-04 International Business Machines Corporation METHOD OF PE-ALD OF SiNxCy AND INTEGRATION OF LINER MATERIALS ON POROUS LOW K SUBSTRATES
US7858503B2 (en) 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP6035161B2 (ja) * 2012-03-21 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5959907B2 (ja) * 2012-04-12 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9515252B1 (en) * 2015-12-29 2016-12-06 International Business Machines Corporation Low degradation MRAM encapsulation process using silicon-rich silicon nitride film
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102042819B1 (ko) 2018-04-06 2019-11-08 한국과학기술원 멤브레인 게이트 fet 소자 및 그 제조방법
KR102042820B1 (ko) 2018-04-06 2019-11-08 한국과학기술원 3차원 반도체 소자 및 그 제조방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
JP7431245B2 (ja) 2019-01-24 2024-02-14 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素を堆積する方法
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
KR102617144B1 (ko) 2022-12-26 2023-12-27 한국과학기술원 Hemt 소자, 모놀리식 3차원 집적 소자 및 그들의 제조 방법

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1544287B2 (de) * 1966-04-29 1975-12-04 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen einer Schutzschicht aus Siliciumnitrid
JPH0824191B2 (ja) * 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
JP4214585B2 (ja) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6251802B1 (en) * 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20030232554A1 (en) * 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
EP1234810B1 (en) * 1999-11-29 2007-01-10 Daikin Industries, Ltd. Process for the production of halogenofluorocarbons
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US20030216981A1 (en) * 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
JP2004186210A (ja) * 2002-11-29 2004-07-02 Applied Materials Inc 窒素含有ケイ素化合物膜の形成方法
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
EP1584100A2 (en) * 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102295657A (zh) * 2010-06-02 2011-12-28 气体产品与化学公司 有机氨基硅烷前体及沉积包含该前体的薄膜的方法
CN102295657B (zh) * 2010-06-02 2015-04-29 气体产品与化学公司 有机氨基硅烷前体及沉积包含该前体的薄膜的方法
CN103088311A (zh) * 2011-10-28 2013-05-08 东京毅力科创株式会社 晶种层的形成方法以及含硅薄膜的成膜方法
CN103088311B (zh) * 2011-10-28 2016-05-11 东京毅力科创株式会社 晶种层的形成方法以及含硅薄膜的成膜方法
CN110178201A (zh) * 2017-01-13 2019-08-27 应用材料公司 用于低温氮化硅膜的方法及设备
CN108922846A (zh) * 2018-06-29 2018-11-30 中国科学院微电子研究所 包括氮化硅层的半导体结构的制作方法与mems器件

Also Published As

Publication number Publication date
KR20070039966A (ko) 2007-04-13
US20060019032A1 (en) 2006-01-26
JP2008507845A (ja) 2008-03-13
WO2006033699A3 (en) 2006-05-26
JP4896016B2 (ja) 2012-03-14
WO2006033699A2 (en) 2006-03-30
KR100849468B1 (ko) 2008-07-30
TW200604371A (en) 2006-02-01

Similar Documents

Publication Publication Date Title
CN101002309A (zh) 用于制造晶体管的低热预算氮化硅膜及其制备方法
US10395917B2 (en) Si precursors for deposition of SiN at low temperatures
KR101630748B1 (ko) 박막의 형성 방법 및 성막 장치
CN101308794B (zh) 钨材料的原子层沉积
US7629267B2 (en) High stress nitride film and method for formation thereof
KR102029286B1 (ko) 디스플레이 디바이스를 위한 배리어 물질
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
KR100943113B1 (ko) 실리콘 질화물 화학 기상 증착용 방법
CN104284997B (zh) 在薄膜晶体管器件上制备含硅膜的方法
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
KR20200143312A (ko) 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR100871006B1 (ko) 얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화
CN113316835A (zh) 用于形成具有低漏电流的含硅硼膜的方法
TWI738207B (zh) 用於金屬矽化物沉積的方法及設備
WO2018118288A1 (en) Sibn film for conformal hermetic dielectric encapsulation without direct rf exposure to underlying structure material
US10535527B2 (en) Methods for depositing semiconductor films
US20180166288A1 (en) Methods for silicide formation
US7026243B2 (en) Methods of forming conductive material silicides by reaction of metal with silicon
TW201835375A (zh) 含Si膜之成膜方法
US20110136328A1 (en) Method for depositing ultra fine grain polysilicon thin film
JP3003607B2 (ja) バリア膜の形成方法と半導体装置
US20110111582A1 (en) Method for depositing ultra fine grain polysilicon thin film
KR20200073452A (ko) 저온 실리콘 절연막 증착 방법
Custer et al. Thermal metalorganic chemical vapor deposition of Ti-Si-N films for diffusion barrier applications

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication