CN100576474C - The ald that contains tantalum material with tantalum predecessor TAIMATA - Google Patents

The ald that contains tantalum material with tantalum predecessor TAIMATA Download PDF

Info

Publication number
CN100576474C
CN100576474C CN200580023292A CN200580023292A CN100576474C CN 100576474 C CN100576474 C CN 100576474C CN 200580023292 A CN200580023292 A CN 200580023292A CN 200580023292 A CN200580023292 A CN 200580023292A CN 100576474 C CN100576474 C CN 100576474C
Authority
CN
China
Prior art keywords
tantalum
substrate
predecessor
gas
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200580023292A
Other languages
Chinese (zh)
Other versions
CN101015047A (en
Inventor
克里斯托夫·马卡德
王荣钧
仲华
尼玛丽亚·梅蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101015047A publication Critical patent/CN101015047A/en
Application granted granted Critical
Publication of CN100576474C publication Critical patent/CN100576474C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

In an embodiment of the present invention, propose to deposit on a kind of substrate surface in a processing chamber method that contains the tantalum film layer, it is included in the atom layer deposition process (ALD), substrate surface is exposed to one in regular turn contains under tantalum predecessor and at least one second predecessor and contain tantalum material to deposit one.This tantalum predecessor is generally the three penta imines-three (dimethylamino) tantalums (TAIMATA).Repeat ALD technology, till this thickness that contains tantalum material reaches preset thickness on the substrate.Usually, containing with pulse mode guiding before the tantalum predecessor enters processing chamber, earlier with the TAIMATA preheating.This contains tantalum material can be tantalum, tantalum nitride, tantalum nitride silicon, tantalum boron nitride, tantalum phosphorous nitride or tantalum nitride oxide.Contain tantalum material can be deposited as in barrier layer or the through hole adhesion layer or as the grid of source/drain devices.

Description

The ald that contains tantalum material with tantalum predecessor TAIMATA
Technical field
Embodiments of the present invention relate to the manufacturing of electronic device, and more specifically, embodiments of the present invention relate to deposit the improvement of the depositing operation that contains tantalum metal layer on substrate by the successive sedimentation technology.
Background technology
Electronic device industry and semi-conductor industry, when constantly making great efforts productivity gain, also be devoted to study the sedimentary deposit uniformity on the large tracts of land substrate, these factors are together with new material, can improve the integrated circuit quantity of per unit area on the substrate, after integrated circuit quantity increases, to the relevant uniformity of sedimentary deposit characteristic and the also relative dike height of requirement of technology controlling and process.In multilevel integration, form the tantalum metal layer that contains such as tantalum, tantalum nitride and tantalum nitride silicon, for technology controlling and process, particularly haptoreaction forms technology, is a major challenge.
Can form contact point by going up the depositing electrically conductive interconnection material at the surface opening of insulating material (as, through hole), this insulating material is between two conductive layers that are spaced a distance.Copper is the most frequently used conductive interconnect material, but copper can diffuse into adjacent layer, in dielectric layer.Make dielectric layer to conduct electricity, finally cause device function to lose efficacy, therefore, need to use barrier material with the diffusion of control copper.
The barrier layer of using sputter tantalum and reactive sputter tantalum nitride and generating, it shows the performance that can control the copper diffusion, and exemplary performance comprises high conductivity, high thermal stability and can stop diffusing into of foreign atom.Physical vaporous deposition (PVD) and two kinds of technologies of atomic layer deposition method (ALD) are used to make tantalum or deposition of tantalum-nitride layer with small size features (90nm is wide according to appointment) and about 5: 1 high-aspect-ratio (aspect ratio).Yet, it is generally acknowledged that PVD technology can reach the size of sedimentary deposit and this limit of depth-to-width ratio, and ALD technology is contemplated to follow-on technology, in order to make the sedimentary deposit of wide and about 10: 1 high-aspect-ratio of characteristic size 45nm.And ALD technology contains tantalum films than PVD technology is easier containing on the feature of undercutting deposition.
In existing chemical vapour deposition technique (CVD) or ALD technology, the someone attempts to use the predecessor of traditional tantalum, and deposition contains tantalum films.Traditional tantalum predecessor comprises tantalic chloride (TaCl 5) and various metallorganic, such as five (diethylin) tantalum (PDEAT), five (dimethylamino) tantalum (PDMAT), the 3rd fourth imines three (diethylin) tantalum (TBTDEAT) and the 3rd fourth imines three (dimethylamino) tantalum (TBTDMAT), yet traditional tantalum predecessor may exist not enough in deposition process.Use predecessor TaCl 5, need circulation technology at least three times, and will use various free-radical chemistry product (as atomic hydrogen or Nitrogen Atom), just can make tantalum or tantalum nitride membrane.Use TaCl 5, also can make to contain tantalum films by chlorine pollution.Use to contain the tantalum organic substance and be the tantalum source, though be not subjected to chlorine pollution, may contain in the sedimentary deposit a large amount carbon do not wish the character that occurs.
Therefore, need development a kind of have the apparent height planarization, low pollute and have a feature such as high-aspect-ratio contain tantalum deposited film technology.
Summary of the invention
Many technologies of Miao Shuing are here all used the predecessor of tantalum, the three penta imines-three (dimethylamino) tantalums (TAIMATA, ( tAmylN) Ta (NMe 2) 3), wherein tAmyl is the 3rd amyl group (C 5H 11-or CH 3CH 2C (CH 3) 2-).In a by way of example, providing a kind of is deposited on the substrate to make the method for device by will contain tantalum material in processing chamber, it comprises that in regular turn a substrate being exposed to one contains under a tantalum gas pulses and the process gas pulse, contain tantalum films with deposition one on this substrate, wherein this contains the tantalum gas pulses and comprises TAIMATA, and this process gas pulse comprises at least a second predecessor; And deposition one contains the ruthenium material and contains on the tantalum films and deposition one copper-bearing materials contains on the ruthenium film at this at this.What deposited contains tantalum material and can comprise tantalum, tantalum nitride, tantalum nitride silicon, tantalum boron nitride, tantalum phosphorous nitride, tantalum nitride oxide, tantalum silicide and derivative thereof or its combination.In an example, this contains the ruthenium material and uses ALD technology to deposit, but this copper-bearing materials then is to use PVD technology to deposit.In another example, at first be this substrate to be exposed in the ALD technology be deposited on the substrate will contain the ruthenium material, then again this substrate is exposed in the plasma etch process, then this substrate is exposed to again in one the 2nd ALD technology, additional contain the ruthenium material to substrate to deposit.
In another embodiment, provide a kind of and contain tantalum material to produce the method for device by in processing chamber, on substrate, depositing one, this method comprises a substrate is exposed under the ALD technology, its contain one comprise TAIMATA contain tantalum gas, and a process gas that comprises at least a second predecessor (secondaryprecursor), contain tantalum films on this substrate, to deposit one.This technology also comprises this substrate is exposed under the plasma etch process, contains on the tantalum films metallic material in the deposition one at this, and deposit copper-bearing materials on the metallic material in this.This metallic material can comprise alloy or its combination of copper, tungsten, aluminium, tantalum, titanium, ruthenium and above metal.Example comprises uses PVD technology to deposit copper-bearing materials and use ALD technology or the metallic material of PVD process deposits on substrate.
In one embodiment, a kind of method that generates a tantalum barrier layer on a substrate of a processing chamber is provided, this method comprises that the tantalum predecessor that will comprise TAIMATA is heated to a predetermined temperature, contains tantalum gas to generate one, and this is contained tantalum gas feeds to processing chamber.This contains tantalum gas and is attracted on the substrate and forms one and contain tantalum layer.This method comprises that also removing gas with one comes the cleaning procedure chamber, one gas that includes at least one second kind of element is fed in this processing chamber, and make this gas that includes at least one second kind of element and this contain the tantalum layer reaction, to form this tantalum barrier layer, continue again to clean this processing chamber with this removing gas.TAIMATA can be heated to a predetermined temperature between about 50 ℃ to about 80 ℃.
In another embodiment, provide a kind of and contain the method that tantalum material forms a device by generating one on the substrate in a processing chamber, it is included in the evaporator with a carrier gas one TAIMATA predecessor liquid heat is generated a step that contains tantalum gas down to a predetermined temperature.This method comprises also this substrate is exposed under an ald (ALD) technology that this ALD technology comprises one and contains tantalum gas pulses, a nitrogenous gas pulse and a silicon-containing gas pulse.Repeat this ALD technology, till this being contained tantalum material and depositing to a predetermined thickness.
In another embodiment, provide on a kind of substrate in a processing chamber deposition one to contain the method for tantalum material, it comprises and substrate is exposed to one in regular turn contains the containing under the process gas pulse that tantalum gas pulses and contains at least a second predecessor of TAIMATA, contains tantalum layer with deposition one on this substrate.Repeat this substrate is exposed to the step of reacting gas pulse, reach a preset thickness, then, contain deposition one metal level on the tantalum film layer at this up to containing the tantalum film layer.
In another embodiment, provide deposition one on a kind of substrate that is arranged in a processing chamber to contain the method for tantalum grid material, it comprises substrate is exposed under the ALD circulation technology, this ALD circulation technology comprise one contain TAIMATA contain tantalum gas pulses, a nitrogen predecessor pulse and one the 3rd predecessor pulse, contain tantalum material to generate one.The 3rd predecessor can comprise a silicon predecessor, a boron predecessor, a phosphorus predecessor or its combination.Repeating this ALD circulation technology contains the tantalum material layer up to this and reaches a preset thickness.
Description of drawings
Therefore pass through above describing mode, but understood in detail feature of the present invention.To the more concrete description of above the present invention who explains the main points briefly, can be with reference to the embodiment that is described in the drawings.Yet, please note that accompanying drawing only describes the representational execution mode of the present invention, and therefore can not think that limiting the scope of the invention, the present invention can comprise other same useful embodiment.
Fig. 1 is the detailed cross sectional view according to the substrate of an embodiment of the present invention before deposition one barrier layer;
Fig. 2 is the detailed cross sectional view according to an embodiment of the present invention above-mentioned substrate shown in Figure 1 after deposition one barrier layer and a Metal Contact window;
Fig. 3 is for depositing a flow chart that contains the method for tantalum layer according to an embodiment of the present invention;
Fig. 4 is for containing the flow chart of the method for tantalum layer according to described another embodiment of the present invention deposition one; And
Fig. 5 is the detailed cross sectional view that contains according to the substrate that contains the tantalum grid of an embodiment of the present invention.
Embodiment
The embodiment of the invention comprises ald (ALD) technology that contains tantalum material in order to deposition one on a substrate surface.Should (ALD) technology, comprise in regular turn substrate surface is exposed to one contain tantalum predecessor and at least one second compound (as, nitrogen predecessor and/or silicon predecessor) under.This technology uses three fourth penta imines-three (dimethylamino) tantalums (TAIMATA) as the tantalum predecessor usually.What deposited contains tantalum material and can comprise tantalum, tantalum nitride, tantalum nitride silicon, tantalum boron nitride, tantalum phosphorous nitride or tantalum nitride oxide.
With reference to Fig. 1, substrate 10 is an exemplary configurations, deposits one on it and contains tantalum layer.Substrate 10 comprises a wafer 12, can deposit one or more layers film on it, shown in layer 14.Wafer 12 is formed by the material such as silicon that is suitable for semiconductor technology.This layer 14 is to be made by suitable dielectric material or electric conducting material, and preferably, layer 14 is formed by a dielectric material such as material.Layer 14 also can comprise a through hole 16, and it can expose a zone 18 of wafer 12.
With reference to Fig. 2, be formed on layer 14 and in this zone 18, be a barrier layer 20, it contains the tantalum material that contains by process deposits as herein described.In an example, barrier layer 20 is made of tantalum nitride or tantalum nitride silicon by under the predecessor such as nitrogen predecessor and/or silicon predecessor that substrate 10 are exposed in regular turn a tantalum predecessor and at least one second element.Though not necessary, barrier layer 20 can comprise single sedimentary deposit of multiple compound, as tantalum nitride or tantalum metal.Tantalum nitride barrier layer 20 is consistent with the profile of through hole 16, and overlay area 18 and layer 14.One contact 22 forms by the depositing metal layers 24 on barrier layer 20 and the mode of filling up through hole 16.Metal level 24 can be formed by standard technique (as the combination utilization of ALD, PVD, CVD, electroless-plating, plating or above technology), and this technology comprises that kind of brilliant generation and/or hole fill up.Metal level 24 is conducting metals, comprises the combination of alloy or the above metal and the alloy of copper, tungsten, aluminium, tantalum, titanium, ruthenium, silver or above-mentioned metal.Preferably, metal level 24 comprises a copper or a copper-bearing alloy.
In an example, barrier layer 20 can be used as kind of crystal layer to promote utilizing plating or ALD technology to generate metal level 24.Barrier layer 20 should have good coverage rate (step coverage), thickness evenly, the ability of high conductivity and tool copper diffusion barrier.Barrier layer 20 continuous application are following to form the atomic layer deposition method deposition of describing in detail.
The many deposition techniques of continuous application to be forming an example on barrier layer 20, and it comprises substrate 10 is exposed to by evaporating containing in the tantalum gas that liquid tantalum predecessor TAIMATA produces.At this used " TAIMATA ", its chemical name is the 3rd fourth penta imines-three (dimethylamino) tantalum, tAmyl is the (C of tributyl group 5H 11-or CH 3CH 2C (CH 3) 2-), containing tantalum gas is the liquid TAIMATA that will be placed in vaporization chamber, foaming chamber (bubbler) or the peace bottle (ampoule), be heated to 30 ℃ and form, relatively Chang Yong temperature range is about 50 ℃ to about 80 ℃, carrier gas can be flowed through or bubble is fed in the TAIMATA liquid of preheating, produce and contain tantalum gas.
Substrate 10 is put into processing chamber, and be heated between about 200 ℃ to 500 ℃, preferably between 250 ℃ to 400 ℃, more preferably between 330 ℃ to 360 ℃.Environment in the processing chamber can be forced into about 1 millitorr (mTorr) between 100 holders (Torr) through control, preferably at 1Torr between the 10Torr, more preferably be that 2Torr is between the 5Torr.Substrate 10 is exposed on and includes one and contain in the process gas of a tantalum gas and a carrier gas.In this used carrier gas and/or removing gas can be Ar, He, N 2, H 2, hydrogeneous mist (forming gas) or the combination of above gas.Form one and contain the tantalum sedimentary deposit on substrate 10, this contains the surface of tantalum layer, infers to contain amido (NMe 2) and imido grpup (=N tAmyl) dentate.
In an example, will contain tantalum layer and be exposed in another process gas that includes a nitrogenous gas and a carrier gas, on the tantalum sedimentary deposit, to form the barrier layer 20 of tantalum nitride.In this example, this nitrogenous gas can comprise ammonia and carrier gas.It is generally acknowledged amido and imido grpup dentate and the ammonia effect that this contains the tantalum layer surface and form various accessory substances that this accessory substance comprises that free radical is (as, amido (NH 2), methylamino (NMe 2), amylamine base (N tAmyl), hydrogen amylamine base (HN tAmyl), amyl group ( tAmyl)), amylene, amine are (as, dimethylamine (NMe 2) or amylamine (H 2N tAmyl)), connection (dimethylamine) ((Me 2N 2) 2), hydrogen (H 2) and other chemical substance.Make in this way, can be on the surface of substrate 10 the cvd nitride tantalum layer.
Barrier layer 20 is a kind of tantalum compounds that contain, one preferred embodiment in, this contains tantalum compound is tantalum nitride or tantalum silicon nitride.One depositing operation example can generate that to have chemical formula be TaN XTantalum nitride, wherein the scope of x is between 0.4 to 2.0.Tantalum nitride is often derived from comprising TaN, Ta 3N 5, Ta 2N or Ta 6N 2.57In interior empirical formula.Containing tantalum compound is to be deposited with amorphous or crystalline material mode.The ALD atomic layer deposition method can be when deposition contains tantalum compound, the atom number proportioning (stoichiometry control) in the control compound.After depositing operation, different technology may change the proportioning between atom number, as Ta 3N 5In annealing process, can generate TaN.In deposition process, change the ratio of each predecessor, can be used for controlling and contain the proportioning between atom number in the tantalum compound.
In another depositing operation example, the chemical formula of tantalum nitride silicon can be TaSi yN x, the scope of x is between 0.4 to 2.0, and the scope of y is between 0.1 to 1.0.In other example, comprise tantalum, tantalum phosphorous nitride, tantalum boron nitride, tantalum silicide, tantalum oxide, tantalum nitride oxide, silicic acid tantalum, tantalum boride, phosphatization tantalum or its derivative by the tantalum compound that contains of described depositing operation manufacturing.
The important characteristic of predecessor is to have suitable air pressure, and the deposition precursor thing can be gaseous state, liquid state or solid-state gentle the depressing of ambient temperature.Yet in the ALD processing chamber, predecessor is vaporized into gas or plasma earlier.Predecessor after preheating, is imported in the processing chamber usually again.
Though TAIMATA preferably contains the tantalum predecessor, but many tantalum predecessors are arranged, after heating, can form and contain tantalum gas, the tantalum predecessor can comprise such as following dentate, for example, the combination of alkanamine base class, alkane imines base class, cyclopentadiene base class, halogen base class, alkyls, alcoxyl base class and above group.The alkylamino radical tantalum compound that can be used as the tantalum predecessor comprises (RR ' N) 5Ta, R or R ' represent hydrogen, methyl, ethyl, propyl group or butyl separately.The alkylamino radical tantalum compound that can be used as the tantalum predecessor comprises (RN) (R ' R " N) 3Ta, wherein R, R ' or R " represent hydrogen, methyl (Me), ethyl (Et), propyl group (Pr), butyl (Bu) or amyl group (amyl) separately.Concrete tantalum predecessor comprise ( tAmylN) Ta (NMe 2) 3, ( tAmylN) Ta (NEt 2) 3, ( tAmylN) Ta (NMeEt) 3, ( tBuN) Ta (NMe 2) 3, ( tBuN) Ta (NEt 2) 3, ( tBuN) Ta (NMeEt) 3, (Et 2N) 5Ta, (Me 2N) 5Ta, (EtMeN) 5Ta, (Me 5C 5) TaCl 4, (acac) (EtO) 4Ta, Br 5Ta, Cl 5Ta, I 5Ta, F 5Ta, (NO 3) 5Ta, ( tBuO) 5Ta, ( iPrO) 5Ta, (EtO) 5Ta, (MeO) 5Ta or their derivative.Preferably, this tantalum predecessor is penta imido grpup compound, as ( tAmylN) Ta (NMe 2) 3, ( tAmylN) Ta (NEt 2) 3Or ( tAmylN) Ta (NMeEt) 3
Available nitrogen-containing compound or nitrogen predecessor deposit and contain tantalum layer, as tantalum nitride, tantalum boron nitride, tantalum nitride silicon, tantalum phosphorous nitride or tantalum nitride oxide.The nitrogen predecessor comprises but does not limit following chemicals, as ammonia (NH 3), diamine (N 2H 4), methyl diamine ((CH 3) HN 2H 2), dimethyl diamine ((CH 3) 2N 2H 2), tributyl diamine (C 4H 9N 2H 3), phenyl diamine (C 6H 5N 2H 3), other diamine derivative, amine, Nitrogen plasma source be (as N 2, N 2/ H 2, NH 3, or the diamine plasma); 2,2 '-azo tributyl alkane ((CH 3) 6C 2N 2), organic or alkyl azide alkane, as triazonmethane (CH 3N 3), nitrine ethane (C 2H 5N 3), nitrine silicon leucoaurin (Me 3SiN 3), inorganic azide is (as NaN 3, Cp 2CoN 3) or other suitable nitrogenous source.Can produce the nitrogen compound that contains free radical by heat, hot line and/or plasma, as N 3, N 2, N, NH or NH 2Produce.Plasma can be in position (as, at processing chamber) or at long-range place (as, remote plasma source) generate.Ammonia and diamine are the nitrogen predecessors of more often selecting for use.
Available silicon-containing compound or silicon predecessor deposit and contain tantalum material, as tantalum nitride silicon, silicic acid tantalum or tantalum silicide.The silicon predecessor comprises silane, halogenated silane and organosilan.Silane comprises silane (SiH 4) and empirical formula be Si xH (2x+2)High-order silane such as disilane (Si 2H 6), trisilalkane (Si 3H 8) and tetrasilane (Si 4H 10) and other silane.Halogenated silane comprises that empirical formula is X ' ySi XH (2x+2-y)Compound, X ' represents F, Cl, Br or I, as hexachloro-silane (Si 2Cl 6), tetrachloro silicane (SiCl 4), dichloro silicomethane (Cl 2SiH 2) and trichlorine silicomethane (Cl 3SiH).Organic silicide comprises that empirical formula is R ySi XH (2x+2-y), R is methyl, ethyl, propyl group or butyl, as methyl-monosilane ((CH 3) SiH 3), dimethylsilane ((CH 3) 2SiH 2), ethylsilane ((C 2H 5) SiH 3), methyl disilane ((CH 3) 2Si 2H 5), dimethyl disilane ((CH3) 2Si 2H 5) and hexamethyldisilane ((CH 3) 6Si 2).Preferred silicon source comprises silane, disilane and methyl-monosilane.
Reactive gas that other can contain tantalum material in order to deposition comprises various oxygen sources and reducing agent, can add oxygen source in ALD technology and form to contain tantalum material, as silicic acid tantalum, tantalum oxide or tantalum oxide.Oxygen source or oxygen predecessor comprise atomic state O, O 2, O 3, H 2O, H 2O 2, organic peroxide, above-claimed cpd derivative or mixture.In ALD technology, can add reducing agent and contain tantalum material, as metal tantalum, tantalum boron nitride or tantalum phosphorous nitride with formation.Reducing agent then has monoborane (BH 3), diborane (B 2H 6), the boron alkyl class is (as Et 3B), phosphine (PH 3), hydrogen (H 2), the derivative or the mixture of above-claimed cpd.
" atomic layer deposition method (atomic layer deposition, ALD) " or " cyclic deposition method " is meant at this and introduces two or more compound of reactions in proper order, so that material is deposited on the substrate.These two, three or more compound of reaction are alternately in the reaction zone of introducing technology chamber.Usually, each compound of reaction is imported into reaction zone respectively behind certain interval of time, so that each compound of reaction can be attached to substrate surface, and/or in substrate surface generation reaction.On the one hand, with one first predecessor or compd A (as the tantalum predecessor), pulsed ground imports in the reaction zone, then at interval with very first time interval.Then, with one second predecessor or compd B (as the nitrogen predecessor), also import reaction zone, and continued for one second time interval with pulsed ground.In the time interval each time, import a kind of removing gas, such as nitrogen, with clean-up reaction zone, and remove reactant residual in the reaction zone or accessory substance.Perhaps, in whole depositing operation, gas is removed in the importing that does not stop, thereby at the neutral gear that adds between two kinds of compound of reactions, has only the gas stream of removing through reaction zone.Reactant alternately imports reaction zone with pulse mode, up to the film that is formed into the acquisition expection on substrate or the film thickness of expection.Another kind of ALD technology, be compd A, clean air, compd B, clean air, with the pulse mode importing reaction zone of circulation, this circulation can be begun by compd A or compd B, and continue each order of this circulation, till the film thickness that obtains expection.In another embodiment, be first predecessor that will contain compd A, contain second predecessor of compd B and contain the 3rd predecessor (as the silicon predecessor) of Compound C, each is respectively with in the pulse mode introducing technology chamber.On the one hand, this ALD circulation technology comprise with substrate be exposed to this first predecessor that contains compd A continuously, this contains in second predecessor, this 3rd predecessor that contains Compound C of compd B and this second predecessor that contains compd B to form film.Perhaps, when pulsed ground imports this first predecessor, in time, time-interleaving with this second predecessor of pulsed ground importing, the time that pulsed ground imports the 3rd predecessor does not then overlap each other with the time that imports this first predecessor, and also discord imports the time-interleaving of this second predecessor.
" pulse (pulse) " speech, be meant that at this specific compound of a certain quantity is imported into the reaction zone of processing chamber with intermittence and discrete mode, the import volume of a specific compound in each pulse, the time length that in reaction zone, stops according to this pulse and deciding.Many factors decision pulses are in the time of staying of reaction zone, as the volatilization character/reaction property of pattern density of the processing chamber volume size used, continuous vacuum system, substrate size, substrate surface (as, depth-to-width ratio value) and specific compound itself." half-reaction (half-reaction) " speech is meant that at this pulsed of a predecessor imports the pulsed ground importing step of step and removing gas in succession.
Implementation of processes example described herein can deposit on various substrate surfaces and substrate and contain tantalum material, for example, and tantalum nitride or tantalum nitride silicon." substrate surface (substrate surface) " is meant any substrate and finishes the material surface that is formed on the substrate by thin-film technique.For instance, the substrate surface materials that can carry out thin-film technique thereon comprises silica, silicon nitride, doped silicon, germanium, GaAs, glass, sapphire and other material that determines according to practical application of silicon, silica, strained silicon (strained silicon), silicon-on-insulator material (SOI), doping carbon.The silica of doping carbon such as SiO xC y, can use spin coating proceeding or gas-phase deposition to deposit, for example to be positioned at the BLACK DIAMOND that Applied Materials was produced in Sheng Takela city, California TMThe low-k dielectric material deposits.Can use the substrate of various sizes, as circular wafers and rectangle or the square flat board of diameter 200mm or 300mm.Process implementing example described here is containing on the surface that tantalum compound deposits to substrate and other material, particularly the surface of silicon and material.The substrate of embodiments of the invention indication comprises crystalline silicon (as Si<100〉or Si<111 〉), glass, silica, tool tensile strained silicon (strained silicon), silicon gallium, doping or unadulterated polysilicon, doping or unadulterated silicon chip silicon nitride.Substrate surface may must be done preliminary treatment such as grinding, etching, reduction, oxidation, hydroxylation, annealing and/or baking.
Fig. 3 and Fig. 4 illustrate and use ALD technology or similar cyclic deposition technique, form the manufacturing process of tantalum nitride.This TAIMATA predecessor can heat in a vaporization chamber, foaming chamber or peace bottle earlier in feeding an ALD processing chamber.TAIMATA can be heated at least 30 ℃, and temperature range commonly used is approximately between 45 ℃ to 90 ℃, and more suitably temperature range is approximately between 50 ℃ to 80 ℃, as about 70 ℃.The TAIMATA predecessor of preheating is than the TAIMATA predecessor of normal temperature, can more complete preservation in carrier gas under.In depositing operation, substrate is heated between about 200 ℃ to 500 ℃, and temperature range commonly used is approximately between 250 ℃ to 400 ℃, and more suitably temperature range is approximately between 330 ℃ to 360 ℃.The temperature of processing chamber, zones of different have different temperature, but roughly the same with substrate temperature.Can be controlled the environmental condition in the processing chamber, its pressure is adjusted to about 1m Torr between the 100Torr, and pressure limit commonly used is approximately 1Torr between the 10Torr, and more suitably pressure limit is approximately 2Torr between the 5Torr.In other example, need make and to use different temperature and different pressure in depositing operation.
For the purpose of clear and easy the description, further specify cyclic deposition correlation technique, the method for cvd nitride tantalum barrier layer used.To contain tantalum compound, as TAIMATA, with pulse mode introducing technology chamber.The help that the tantalum predecessor can pass through a carrier gas and remove gas, and be directed into processing chamber, these carrier gas and removing gas can include, but are not limited to the combination of helium, argon, nitrogen, hydrogen, forming gas or above gas.The pulse of nitrogen-containing compound as ammonia, also is fed to processing chamber with pulse mode.Also can use a carrier gas to transmit this nitrogen-containing compound.On the one hand, can contained between tantalum compound pulse and nitrogen-containing compound (as a carrier gas) pulse by a source of the gas (as steel cylinder or interior arrangement), the gas of removing gas that can be used as without interruption is to processing chamber.On the other hand, after containing tantalum compound and nitrogen-containing compound each is imported into processing chamber with pulse mode, will remove gas with pulse mode introducing technology chamber.In addition, in each deposition step or half technology, can feed the removing gas of a constant basis and carrier gas in processing chamber.
In the technology 300 of Fig. 3, in the carrier gas introducing technology chamber with a constant basis, in step 302, the conditions such as temperature and pressure in the processing chamber are adjusted.In deposition process, underlayer temperature can be maintained a little less than one select for use contain the tantalum predecessor (as, heat decomposition temperature TAIMATA).In step 304, when carrier gas stream began to enter processing chamber and swept away substrate surface, tantalum nitride layer began to form.In step 306, with the tantalum predecessor with pulse mode introducing technology chamber, the tantalum predecessor flows in the carrier gas with pulse mode, and the tantalum compound that contains of an individual layer is attracted on the substrate surface, and remaining tantalum predecessor is by removing gas and/or removing in the mode that vacuumizes.In step 308, continue carrier gas is led on the substrate, a nitrogen-containing compound imports in the carrier gas with pulse mode.Nitrogen predecessor (as ammonia) reacts with the tantalum compound that contains that is adsorbed, and forms tantalum nitride membrane at substrate surface.Unnecessary nitrogen predecessor and accessory substance (as organic compound) are by removing gas and/or removing in the mode that vacuumizes.In step 310, if tantalum nitride layer reaches the thickness of expectation, depositing operation stops at step 312.Step 304-310 will circulate always and carry out, and the thickness up to tantalum nitride layer reaches desired value.In one embodiment, TAIMATA and ammonia are fed to processing chamber with pulse mode continuously, and after 40 circulations, the thickness of deposition of tantalum-nitride layer just reaches approximately
Figure C20058002329200161
The technology 300 that forms tantalum nitride layer also can have other method, and it begins is the nitrogen-containing compound that absorbs an individual layer on substrate earlier, then deposits the tantalum compound that contains of an individual layer.In addition, in other example, import between two reacting gass, use pump to vacuumize or use removing gas separately, or both use simultaneously, mix to prevent reacting gas in pulsed.
In an example, substrate is heated to fixing temperature range, between 330 ℃ to 360 ℃, and the pressure of processing chamber, about 2Torr between the 4Torr.One nitrogen carrier gas is flowed through on the substrate, and between the 3000sccm, flow velocity commonly used is 1500sccm to its flow velocity about 1000sccm (gas cubic centimetre number under the per minute status of criterion).To the flow velocity between 2000sccm, be preferably 500sccm with about 200sccm.TAIMATA is heated to 70 ℃.The process gas that will contain TAIMATA guides to substrate surface, and be between about 0.1 second to 3.0 time of contact, is preferably about 0.25 second to 1.5 seconds, more preferably is 0.5 second.With after substrate contact, continue the feeding carrier gas at TAIMATA that pulsed imports, with the cleaning procedure chamber, its checkout time is between about 0.2 second to 5.0, preferably is about 0.25 second to 1.5 seconds, more preferably is 1.0 seconds.When carrying out the removing step, a vacuum system is used for removing residual TAIMATA, next, one contains the nitrogenous process gas of ammonia, is fed to substrate surface with pulse mode, this process gas, can be nitrogen-containing precursor in the carrier gas, also can be nitrogen-containing precursor.In an example, contain ammonia and nitrogen in the process gas, the process gas that contains ammonia with about 1000sccm between the 3000sccm, preferably flow velocity is that the flow velocity of 1500sccm is fed to substrate surface, be between about 0.1 second to 3.0 its time of contact, preferably being about 0.25 second to 1.0 seconds, more preferably is 0.5 second.After the pulsed importing contains the process gas of ammonia, to continue to feed carrier gas, the time of its feeding is between about 0.2 second to 5.0, preferably is about 0.25 second to 1.5 seconds, more preferably is 1.0 seconds.One vacuum system is used for removing any residual nitrogen predecessor and/or the accessory substance that is during reaction produced.Repeat the ALD circulation technology, until obtain predetermined thickness such as tantalum nitride contain tantalum layer till, this thickness is approximately
Figure C20058002329200171
Arrive
Figure C20058002329200172
Between, be preferably about
Figure C20058002329200173
Arrive
Figure C20058002329200174
Thickness as the barrier layer is about
In Fig. 4, technology 400 illustrates another execution mode of depositing operation, and this technology imports with pulsed ground in regular turn and removes gas, contains the tantalum predecessor, removes gas and nitrogen predecessor.In step 402, can adjust the conditions such as temperature and pressure in the processing chamber.In deposition process, substrate temperature is a little less than the heat decomposition temperature of selecting for use that contains tantalum compound such as TAIMATA.In step 404, remove first pulse of gas, enter processing chamber and swept away substrate surface.In step 404 and 408, use the gas in the vacuum system removal processing chamber.In step 406, substrate contacts with the stream of pulses that contains tantalum compound, and TAIMATA is adsorbed onto on the substrate, and forms a single sedimentary deposit, in step 408, removes second stream of pulses of gas and can remove remaining TAIMATA and pollutant.In step 410, the stream of pulses of nitrogen-containing compound enters processing chamber and swept away substrate, and the TAIMATA reaction of nitrogen-containing compound and absorption generates and contains tantalum material, as tantalum nitride.In step 412, if tantalum nitride layer reaches the thickness of expectation, depositing operation stops in step 414.Step 404-412 will circulate always and carry out, and reach desired value up to the thickness of tantalum nitride layer.TAIMATA and ammonia are in regular turn with after 20 circulations of pulse mode introducing technology chamber, and the thickness of deposition of tantalum-nitride layer just arrives approximately
Figure C20058002329200176
In an example, substrate is heated to fixing temperature range, between 330 ℃ to 360 ℃, and the pressure of processing chamber, about 2Torr between the 4Torr.With the nitrogen carrier gas with about 200sccm to the flow velocity between the 2000sccm, flow velocity commonly used is 500sccm, feeds one and has placed in the peace bottle of preheating TAIMATA, contains the tantalum process gas with formation.To be preheating to 70 ℃ at the TAIMATA in the peace bottle.One process gas that contains TAIMATA is directed to substrate surface, and be between about 0.1 second to 3.0 its time of contact, preferably is about 0.25 second to 1.5 seconds, and more happiness is preferably 0.5 second.After importing TAIMATA with pulse mode, one pulse of removing gas is fed processing chamber, use vacuum system to remove gas simultaneously, import between a period of time about 0.2 second to 5.0 of removing gas, preferably about 0.25 second to 1.5 seconds more preferably is 1.0 seconds.Next, with a nitrogenous process gas that contains ammonia, be directed into substrate surface with pulse mode, this process gas can be a nitrogen-containing precursor in the carrier gas, also can be nitrogen-containing precursor.The process gas that contains ammonia is fed to substrate surface, and between the 3000sccm, flow velocity commonly used is 1500sccm to its flow velocity about 1000sccm, and be between about 0.1 second to 3.0 its time of contact, is about 0.25 second to 1.0 seconds than the dust head, more preferably is 0.5 second.After the pulsed importing contains the process gas of ammonia, one pulse of removing gas feeds processing chamber, uses vacuum system to remove gas simultaneously, is about between 0.2 second to 5.0 when importing the removing of removing gas, being preferably about 0.25 second to 1.5 seconds, more preferably is 1.0 seconds.Repeat the ALD circulation technology, until obtain predetermined thickness such as tantalum nitride contain tantalum layer till, this thickness is approximately
Figure C20058002329200181
Arrive Between, be preferably about
Figure C20058002329200183
Arrive
Figure C20058002329200184
Between, as be about
Figure C20058002329200185
Each contain tantalum gas stream of pulses, nitrogenous gas stream of pulses and between the stream of pulses that imports reacting gas, feed the stream of pulses of the removing gas of processing chamber, these gases feed the time of processing chambers, can be different and decide on the volume capacity of processing chamber and the vacuum system that links with it.For instance, when (1) processing chamber pressure is low, need long gas pulses to import the time, when (2) gas flow was low, processing chamber needed the long time to rise to stable state, therefore needed long gas pulses to import the time, when (3) capacity of processing chamber is big, need the long time to fill in, also need long time chien shih to make air pressure arrive stable state, therefore need long gas pulses to import the time.Same, the time between two gas pulses are filled in, also different, and decide on the volume capacity of processing chamber and the vacuum system that links with it.In general, the time is filled in the pulse that contains tantalum gas or nitrogenous gas, must be enough long, and individual layer absorption or the reaction of compound on substrate can be finished.A kind of situation is arranged, and when pulse imported nitrogenous gas, pulse before imports contained tantalum gas and can rest in the processing chamber always.In general, removing gas should be enough long in the time of time of processing chamber and/or pump extracting vacuum, to avoid the containing pulse of tantalum gas and the pulse of nitrogenous gas mixes at reaction zone.
In another embodiment, TAIMATA can be used as and contains tantalum compound, and contains the tantalum material of three kinds of elements in order to formation, as tantalum nitride silicon, tantalum boron nitride, tantalum phosphorous nitride, tantalum nitride oxide or silicic acid tantalum.The relevant detailed record that forms the tantalum material technology that contains three kinds or four kinds elements, being disclosed in the denomination of invention of submitting on July 18th, 2002 is the Application No. 10/199 of " cyclical deposition process of refractory metal silicon nitride ", 419, among the patent publication No. US 2003-0108674, all quote as a reference at this.Technology 300 and 400 has been revised, thereby obtain to contain the tantalum material of three kinds of elements, for instance, comprising that pulsed imports in the ALD circulation technology of TAIMATA and nitrogen predecessor, increase by a pulsed and import the silicon predecessor and produce the step that contacts deposition, can form the tantalum nitride silicon materials with substrate.Similarly, comprising that pulsed imports in the ALD circulation technology of TAIMATA and nitrogen predecessor, increasing by a pulsed and import the oxygen predecessor and produce the step that contacts deposition, can make the tantalum nitride oxide material with substrate.In another example, in the ALD circulation technology, in the oxygen predecessor that the TAIMATA that allows substrate be exposed to pulsed to import, the silicon predecessor that pulsed imports and pulsed import, and and the substrate generation contact deposition, can make the silicic acid tantalum.In another example, in the ALD circulation technology, the TAIMATA that imports that allows substrate be exposed to pulsed, nitrogen predecessor and the pulsed that pulsed imports import in the phosphorus predecessor (as phosphine), and and the substrate generation contact deposition, can make tantalum phosphorous nitride.In another example, in the ALD circulation technology, the TAIMATA that imports that allows substrate be exposed to pulsed, nitrogen predecessor and the pulsed that pulsed imports import in the boron predecessor (as diborane), and and the substrate generation contact deposition, can make tantalum boron nitride.
In the making example of tantalum nitride silicon, substrate is heated to fixing temperature range, between 330 ℃ to 360 ℃, and the pressure of processing chamber, about 2Torr between the 4Torr.With carrier gas stream with about 200sccm to the flow velocity between the 2000sccm, flow velocity commonly used is 500sccm, flows into to have placed in the peace bottle of preheating TAIMATA, contains the tantalum process gas to make.TAIMATA then is preheating to 70 ℃.One contains the process gas of TAIMATA, is directed into substrate surface, its time of contact be about 0.1 second to 3.0 between, be preferably between 0.25 second to 1.5 seconds, more preferably be 0.5 second.After the pulsed of TAIMATA imports, with a stream of pulses introducing technology chamber of removing gas, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be 1.0 seconds preferably.Next, with a nitrogenous process gas that contains ammonia, be directed into substrate surface with pulse mode, this process gas can be a nitrogen-containing precursor in the carrier gas, also can be nitrogen-containing precursor.The process gas that contains ammonia is conducted to substrate surface, to between the 3000sccm, flow velocity commonly used is 1500sccm to its flow velocity about 1000sccm, its time of contact be about 0.1 second to 3.0 between, than the dust head is between 0.25 second to 1.0 seconds, more preferably is 0.5 second.After the pulsed importing contained the process gas of ammonia, one removed the stream of pulses of gas, is imported into processing chamber, and it imports between checkout time about 0.2 second to 5.0, between 0.25 second to 1.5 seconds, more preferably is 1.0 seconds than the dust head.Next, one has the siliceous process gas of silane, is conducted to substrate surface with pulse mode, and this process gas can be a silicon-containing precursor in the carrier gas, also can be silicon-containing precursor.The process gas that contains silane is conducted to substrate surface, to between the 1500sccm, flow velocity commonly used is 400sccm to its flow velocity about 100sccm, its time of contact be about 0.1 second to 3.0 between, than the dust head is between 0.25 second to 1.0 seconds, more preferably is 0.5 second.Pulsed import contain the process gas of silane after, one removes the stream of pulses of gas, is imported into processing chamber, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be 1.0 seconds than the dust head.Repeat the ALD circulation technology, until obtain predetermined thickness contain tantalum layer such as tantalum nitride silicon till, this thickness about To about Between, than the dust head be about
Figure C20058002329200193
Arrive
Figure C20058002329200194
Between, be about as the thickness on barrier layer
Figure C20058002329200195
In another execution mode, such as grid layer, predetermined deposit thickness about
Figure C20058002329200196
To about
Figure C20058002329200197
Between, as thickness approximately
Figure C20058002329200198
In the example that forms a tantalum nitride oxide, substrate is heated to fixing temperature range, between 330 ℃ to about 360 ℃, the pressure of processing chamber arrives between about 4Torr about 2Torr.With about 200sccm to the flow velocity between the 2000sccm, flow velocity commonly used is 500sccm, carrier gas is flowed into placed in the peace bottle of preheating TAIMATA, contains the tantalum process gas to make.TAIMATA then is preheating to 70 ℃.One contains the process gas of TAIMATA, is directed into substrate surface, its time of contact be about 0.1 second to 3.0 between, be between 0.25 second to 1.5 seconds than the dust head, more preferably be about 0.5 second.After the pulsed of TAIMATA imports, with a stream of pulses introducing technology chamber of removing gas, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be about 1.0 seconds than the dust head.Next, one has the nitrogenous process gas of ammonia, is conducted to substrate surface with pulse mode, and this process gas can be to be loaded with nitrogen-containing precursor in the carrier gas, also can be nitrogen-containing precursor.The process gas that contains ammonia is conducted to substrate surface, to between the 3000sccm, flow velocity commonly used is about 1500sccm to its flow velocity about 1000sccm, its time of contact be about 0.1 second to 3.0 between, than the dust head is between 0.25 second to 1.0 seconds, more preferably is about 0.5 second.Pulsed import contain the process gas of ammonia after, one removes the stream of pulses of gas, is imported into processing chamber, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be about 1.0 seconds than the dust head.Next, one water arranged contain the oxygen process gas, be fed to substrate surface with pulse mode, this process gas can be to be loaded with in the carrier gas to contain the oxygen predecessor, also can just contain the oxygen predecessor.Moisture process gas is conducted to substrate surface, to between the 3000sccm, flow velocity commonly used is about 1500sccm to its flow velocity about 1000sccm, its time of contact be about 0.1 second to 3.0 between, than the dust head is between 0.25 second to 1.0 seconds, more preferably is about 0.5 second.Pulsed import contain the process gas of ammonia after, with a stream of pulses introducing technology chamber of removing gas, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be about 1.0 seconds than the dust head.Repeat the ALD circulation technology, up to obtain predetermined thickness contain tantalum layer such as tantalum nitride oxide till, this thickness about Arrive
Figure C20058002329200202
Between, than the dust head about
Figure C20058002329200203
Arrive
Figure C20058002329200204
Between, according to appointment
In another example, for example hydrogen and TAIMATA play reduction reaction to make the tantalum metal deposition layer by using reducing agent.Substrate is heated to fixing temperature range, between 330 ℃ to 360 ℃, the pressure of processing chamber, about 2Torr between the 4Torr.With about 200sccm to the flow velocity between the 2000sccm, be preferably the flow velocity of 500sccm, carrier gas is flowed into one has placed in the peace bottle of preheating TAIMATA, contain the tantalum process gas to make.TAIMATA then is preheating to 70 ℃.One process gas that contains TAIMATA is directed to substrate surface, its time of contact be about 0.1 second to 3.0 between, be between 0.25 second to 1.5 seconds than the dust head, more preferably be 0.5 second.After the pulsed of TAIMATA imports, with a stream of pulses introducing technology chamber of removing gas, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be 1.0 seconds than the dust head.Next, with a hydrogen stream, be directed into substrate surface with pulse mode, to between the 2000sccm, flow velocity commonly used is 500sccm to its flow velocity about 200sccm, its time of contact be about 0.1 second to 3.0 between, than the dust head is between 0.25 second to 1.0 seconds, more preferably is 0.5 second.After pulsed imports hydrogen, with a stream of pulses introducing technology chamber of removing gas, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be 1.0 seconds than the dust head.Repeat the ALD circulation technology, until obtain predetermined thickness contain tantalum layer such as tantalum till, this thickness about
Figure C20058002329200211
Arrive
Figure C20058002329200212
Between, than the dust head be about
Figure C20058002329200213
Arrive
Figure C20058002329200214
Between, according to appointment
Figure C20058002329200215
In the example that forms tantalum nitride boron, substrate is heated to fixing temperature range, between 330 ℃ to 360 ℃, the pressure of processing chamber, about 2Torr between the 4Torr.With about 200sccm to the flow velocity between the 2000sccm, be preferably the flow velocity of 500sccm, carrier gas is flowed into one has placed in the peace bottle of preheating TAIMATA, contain the tantalum process gas to make.TAIMATA then is preheating to 70 ℃.One process gas that contains TAIMATA is directed to substrate surface, and be between about 0.1 second to 3.0 its time of contact, is between about 0.25 second to 1.5 seconds than the dust head, more preferably is 0.5 second.After the pulsed of TAIMATA imports, with a stream of pulses introducing technology chamber of removing gas, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be 1.0 seconds than the dust head.Next, a nitrogenous process gas that contains ammonia is directed at substrate surface with pulse mode, this process gas can be a nitrogen-containing precursor in the carrier gas, also can be nitrogen-containing precursor.The process gas that contains ammonia is conducted to substrate surface, to between the 3000sccm, flow velocity commonly used is 1500sccm to its flow velocity about 1000sccm, its time of contact be about 0.1 second to 3.0 between, than the dust head is between 0.25 second to 1.0 seconds, more preferably is about 0.5 second.Pulsed import contain the process gas of ammonia after, with a stream of pulses introducing technology chamber of removing gas, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be about 1.0 seconds than the dust head.Next, with a boracic process gas that diborane arranged, be directed into substrate surface with pulse mode, this process gas can be a boracic predecessor in the carrier gas, also can be the boracic predecessor.The process gas that contains diborane is conducted to substrate surface, to between the 1200sccm, flow velocity commonly used is 500sccm to its flow velocity about 50sccm, its time of contact be about 0.1 second to 3.0 between, than the dust head is between 0.25 second to 1.0 seconds, more preferably is about 0.5 second.Pulsed import contain the process gas of diborane after, with a stream of pulses introducing technology chamber of removing gas, its import checkout time about 0.2 second to 5.0 between, between 0.25 second to 1.5 seconds, more preferably be about 1.0 seconds than the dust head.Repeat the ALD circulation technology, until obtain predetermined thickness contain tantalum layer such as tantalum boron nitride till, this thickness about
Figure C20058002329200221
Arrive
Figure C20058002329200222
Between, than the dust head be about
Figure C20058002329200223
Arrive
Figure C20058002329200224
Between, according to appointment
Figure C20058002329200225
In example as described in Figure 5, deposit on substrate 500 with method described herein and to contain tantalum grid 510.Substrate 500 comprises one source pole layer 504a and injects the drain electrode 504b that substrate surface 502 forms by ion.The section of source/drain electrode layer 504 joins by the tantalum grid 510 that contains that is formed on the gate insulator 506 (as hafnium oxide or hafnium silicate).One biasing layer (an off-set layer) or a wadding 508 (spacer508) (as, silicon nitride) is deposited on the both sides that contain tantalum grid 510.One metal contact layer 512 (as tantalum or tungsten) is deposited on and contains on the tantalum grid 510.In general, the thickness that contains the deposition of tantalum grid 510 is being made an appointment with
Figure C20058002329200226
To about
Figure C20058002329200227
Between.Preferably, this contains tantalum grid 510 and is to use TAIMATA compound and ALD process deposits described herein in source/drain region of being made up of source layer 504a and drain electrode layer 504b on the substrate surface 502, to form a gate electrode.Utilize the ALD depositing operation of TAIMATA compound, nitrogen predecessor and the third predecessor, may command contains the ratio of the constituent content of tantalum grid 510.
The composition that contains tantalum grid 510 can change, so that more effectively control the work function between source layer 504a and the drain electrode 504b.Contain the combination that tantalum grid 510 can contain tantalum, nitrogen and the silicon that freely adds, boron, phosphorus, carbon, reach above element.The work function that contains tantalum grid 510 can be adjusted by the concentration (for the concentration of tantalum) that increases nitrogen and/or phosphorus, to reduce impedance.In an example, what contain tantalum nitride contains tantalum grid 510, has the scope of nitrogen concentration, and between 40 atomicity percentages (at%) and 70 (at%), normal user is between 50 atomicity percentages (at%) and 63 (at%).In another example, contain tantalum grid 510 and contain tantalum phosphorous nitride, it has the scope of phosphorus concentration, and between 10 atomicity percentages (at%) and 50 (at%), normal user is between 20 atomicity percentages (at%) and 30 (at%).
Perhaps, the work function that contains tantalum grid 510 can be adjusted by the concentration (for the concentration of tantalum) that improves carbon, silicon and/or boron, to increase impedance.In an example, what contain tantalum nitride silicon contains tantalum grid 510, and it has the scope of silicon concentration, and between 10 atomicity percentages (at%) and 20 (at%), normal user is between 50 atomicity percentages (at%) and 63 (at%).In another example, contain tantalum grid 510 and contain tantalum boron nitride, it has the boron range of concentrations, and between 20 atomicity percentages (at%) and 60 (at%), normal user is between 30 atomicity percentages (at%) and 50 (at%).
The description of relevant processing chamber, as the ALD processing chamber, being disclosed in the denomination of invention of submitting to December 21 calendar year 2001 is the Application No. 10/032 of " atomic layer deposition method air transporting arrangement and method ", 284, patent publication No. US 2003-0079686, and the denomination of invention of submitting on October 25th, 2002 is among " atomic layer deposition method air transporting arrangement " Application No. 10/281,079, the patent publication No. US 2003-0121608.In two pieces of patents ALD technology there is general description, quotes all as a reference at this.In one embodiment, the atom layer deposition process (PE-ALD) that strengthens with a plasma deposits and contains tantalum material (as TAIMATA).The denomination of invention of submitting on July 16th, 2002 is the u.s. patent application serial number 10/197 of " apparatus and method for that plasma promotes depositing operation ", 940, among the patent publication No. US 2003-0143328, processing chamber and technology that PE-ALD is used have general description, all quote as a reference at this.The u.s. patent application serial number of submitting on July 17th, 2,002 10/198,727, patent publication No. US 2004-0013577, with the u.s. patent application serial number of submitting on July 29th, 2,002 10/208,305 patent publication No. US 2004-0025370, the denomination of invention of two pieces of patents all is in " supply gas is to the method and apparatus of processing chamber ", to using evaporator or peace bottle preheating predecessor, as the detailed process of TAIMATA, general description is arranged, all quote as a reference at this.The u.s. patent application serial number of submitting on July 17th, 2,002 10/197,683, patent publication No. US 2004-0011504, denomination of invention is the u.s. patent application serial number 10/700 of " method and apparatus of semiconductor technological system gas control " and submission on November 3rd, 2003,328, patent announcement US 2005-0095859, denomination of invention all is in two pieces of patents of " rate controlled of predecessor conveying system ", system is carried predecessor such as the TAIMATA detailed process to processing chamber, general description is arranged, all quote as a reference at this.
Embodiment
Below the embodiment 1-6 explanation of design use depositing operation to make to contain the tantalum material film (as, tantalum nitride described here or tantalum nitride silicon) sedimentary deposit is in the application of some intraconnection.
Embodiment 1-is a predecessor with TAIMATA, utilizes ALD technology, is containing on the substrate surface of dielectric material, and deposition one contains tantalum material layer (as tantalum nitride or tantalum nitride silicon).Its deposit thickness about
Figure C20058002329200231
Figure C20058002329200232
Arrive Between, preferable being about
Figure C20058002329200234
Use technologies such as PVD, containing deposition one copper metal layer on the tantalum material layer, its thickness about
Figure C20058002329200235
Arrive
Figure C20058002329200236
Between, preferably be about
Figure C20058002329200237
Next, can carry out electrochemical polish (ECP) technology to copper metal layer.
Embodiment 2-is a predecessor with TAIMATA, utilizes ALD technology, is containing on the substrate surface of dielectric material, and deposition one contains tantalum material layer (as tantalum nitride or tantalum nitride silicon), its deposit thickness about
Figure C20058002329200238
Figure C20058002329200239
Arrive
Figure C200580023292002310
Between, preferable being about
Figure C200580023292002311
Using PVD or ALD technology, is predecessor with TAIMATA, is containing deposition one tantalum metal layer on the tantalum material layer, its thickness about
Figure C20058002329200241
Arrive
Figure C20058002329200242
Between, preferable being about
Figure C20058002329200243
Substrate is carried out plasma etch process to remove the part material from the bottom of through hole, and it removes the depth bounds of part, about
Figure C20058002329200244
Arrive Between, more often remove and be about
Figure C20058002329200246
Next step uses PVD or ALD technology, is predecessor with TAIMATA, is containing deposition one tantalum metal layer on the tantalum material layer, its thickness range, about Arrive
Figure C20058002329200248
Between, preferable being about
Figure C20058002329200249
Next, use technologies such as PVD, containing deposition one copper metal layer on the tantalum material layer, its thickness range, about
Figure C200580023292002410
Arrive
Figure C200580023292002411
Between, preferable being about
Figure C200580023292002412
Then, can carry out electrochemical polish (ECP) technology to copper metal layer.
Embodiment 3-is a predecessor with TAIMATA, utilizes ALD technology, is containing on the substrate surface of dielectric material, and deposition one contains tantalum material layer (as tantalum nitride or tantalum nitride silicon).The thickness range of this sedimentary deposit, about
Figure C200580023292002413
Arrive Between, preferable being about
Figure C200580023292002415
Substrate is carried out plasma etch process to remove the part material from the bottom of through hole, and it removes the depth bounds of part, about
Figure C200580023292002416
Arrive
Figure C200580023292002417
Between, preferable being about
Figure C200580023292002418
Next step uses PVD or ALD technology, is predecessor with TAIMATA, is containing deposition one tantalum metal layer on the tantalum material layer, its thickness range, about
Figure C200580023292002419
Arrive
Figure C200580023292002420
Between, preferable pact
Figure C200580023292002421
Next, use technologies such as PVD, containing deposition one copper metal layer on the tantalum material layer, its thickness range, about
Figure C200580023292002422
Arrive
Figure C200580023292002423
Between, preferable pact
Figure C200580023292002424
Then, can carry out electrochemical polish (ECP) technology to copper metal layer.
Embodiment 4-is a predecessor with TAIMATA, utilizes ALD technology, is containing on the substrate surface of dielectric material, and deposition one contains tantalum material layer (as tantalum nitride or tantalum nitride silicon).The thickness range of this sedimentary deposit, about
Figure C200580023292002425
Arrive
Figure C200580023292002426
Between, preferable being about
Figure C200580023292002427
Use ALD technology, containing deposition one ruthenium metal layer on the tantalum material layer, its thickness range, about
Figure C200580023292002428
Arrive
Figure C200580023292002429
Between, preferable being about
Figure C200580023292002430
Substrate is carried out plasma etch process, and remove the part material, make the depth bounds of removing part from the bottom of through hole, about Arrive
Figure C200580023292002432
Between, preferable being about
Figure C200580023292002433
Next step uses ALD technology, is containing deposition one ruthenium metal layer on the tantalum material layer, its thickness range, about
Figure C200580023292002434
Arrive
Figure C200580023292002435
Between, preferable being about
Figure C200580023292002436
Next, use technologies such as PVD, deposition one copper metal layer on ruthenium metal layer, its thickness range, about
Figure C200580023292002437
Arrive
Figure C200580023292002438
Between, preferable being about
Figure C200580023292002439
Then, can carry out electrochemical polish (ECP) technology to copper metal layer.
Embodiment 5-is a predecessor with TAIMATA, utilizes ALD technology, contains at substrate on the surface of dielectric material, and deposition one contains tantalum material layer (as tantalum nitride or tantalum nitride silicon).The thickness range of this sedimentary deposit, about
Figure C200580023292002440
Arrive
Figure C200580023292002441
Between, preferable being about
Figure C200580023292002442
Use ALD technology, containing deposition one ruthenium metal layer on the tantalum material layer, its thickness range, about
Figure C200580023292002443
Arrive
Figure C200580023292002444
Between, normal user is about
Figure C200580023292002445
Substrate is carried out plasma etch process, and remove the part material, make the depth bounds of removing part from the bottom of through hole, about
Figure C20058002329200251
Arrive
Figure C20058002329200252
Between, preferable being about Next step uses ALD technology, is containing deposition one ruthenium metal layer on the tantalum material layer, its thickness range, about
Figure C20058002329200254
Arrive
Figure C20058002329200255
Between, normal user is about
Figure C20058002329200256
Next, use technologies such as PVD, deposition one copper metal layer on ruthenium metal layer, its thickness range, about
Figure C20058002329200257
Arrive
Figure C20058002329200258
Between, preferable pact
Figure C20058002329200259
Then, can carry out electrochemical polish (ECP) technology to copper metal layer.
Embodiment 6, are predecessor with TAIMATA, utilize ALD technology, containing on the substrate surface of dielectric material, and deposition one contains tantalum material layer (as tantalum nitride or tantalum nitride silicon).The thickness range of this sedimentary deposit, about Arrive
Figure C200580023292002511
Between, preferable being about
Figure C200580023292002512
Use ALD technology, containing deposition one ruthenium metal layer on the tantalum material layer, its thickness range, about
Figure C200580023292002513
Arrive
Figure C200580023292002514
Between, preferable being about Next, use technologies such as PVD, deposition one copper metal layer on ruthenium metal layer, its thickness range, about
Figure C200580023292002516
Figure C200580023292002517
Arrive
Figure C200580023292002518
Between, preferable being about
Figure C200580023292002519
Then, can carry out electrochemical polish (ECP) technology to copper metal layer.
In other embodiments, deposit with described ALD technology and contain the application that tantalum material is a metal gates.In ALD technology, normal is to make the predecessor that contains the tantalum material layer with TAIMATA.Grid layer can comprise following grid material, as silicon oxynitride, hafnium oxide, aluminium oxide or above combination of compounds.Use ALD technology described here, with tantalum nitride or tantalum nitride siliceous deposits to metal gates.In general, be deposited on the tantalum material that contains on the grid layer, its thickness about
Figure C200580023292002520
Arrive
Figure C200580023292002521
Between, preferable being about
Figure C200580023292002522
Next, a layer metal deposition is being contained on the tantalum material layer, this metal comprises the combination of titanium, titanium nitride, tungsten, tantalum, ruthenium or above metal, and deposits by CVD, ALD, PVD, plating or electroless-plating etc.In one embodiment, metallic sedimentary deposit material is the titanium nitride that is formed by CVD technology, ALD technology or PVD technology.Another embodiment, metallic sedimentary deposit material is the tungsten that is formed by CVD technology.At an embodiment, metallic sedimentary deposit material is by PVD technology or ALD technology, is the tantalum that predecessor forms with TAIMATA.Another embodiment, metallic sedimentary deposit material is the ruthenium that is formed by ALD technology.
Though the present invention, the mode with specific embodiment describes.But those skilled in the art answers body to recognize that the various of reaction condition may change.Reaction condition refers to such as temperature, pressure, film thickness and comprises herein and the importing order of alternative similar term and depositing operation gas.For instance, in the successive sedimentation technology, gas imports can different initial orders, before containing tantalum gas introducing technology chamber, can earlier the nitrogenous gas importing be contacted with substrate.In addition, tantalum nitride layer except the function as diffusion barrier in contact, also can be used for other features of circuit.Therefore, scope of the present invention should not be limited to above description.More rational, scope of the present invention should be as the criterion to comprise the claims that illustrate within the equivalent scope.
Though more than relate generally to description, in not departing from the spiritual scope that following claims limit, can design other and further execution mode of the present invention to embodiments of the present invention.

Claims (35)

1. deposition one contains tantalum layer to form the method for a device on the substrate that is used in processing chamber, comprising:
One substrate is exposed in regular turn under the process gas that contains at least a second predecessor that contains a tantalum gas and a pulse that includes TAIMATA of a pulse, contains tantalum material with deposition one on this substrate;
Contain the ruthenium material containing on the tantalum material deposition one at this during the gas-phase deposition, wherein deposit this and contain the ruthenium material and comprise:
This substrate is exposed under one first atom layer deposition process, contains the ruthenium layer with deposition one first on this substrate;
This substrate is exposed under the plasma etch process; And
This substrate is exposed under one second atom layer deposition process, contains the ruthenium layer with deposition one second on this substrate; And
Containing deposition one copper-bearing materials on the ruthenium material at this during another depositing operation.
2. method according to claim 1 is characterized in that, this contains tantalum material is to be selected from the material of being made up of tantalum, tantalum nitride, tantalum nitride silicon, tantalum boron nitride, tantalum phosphorous nitride, tantalum nitride oxide, tantalum silicide and derivative thereof and its combination.
3. method according to claim 2 is characterized in that, this copper-bearing materials is by a physical vapor process deposits.
4. one kind one contains tantalum layer to form the method for a device by deposition on a substrate of a processing chamber, it is characterized in that, comprising:
In an atom layer deposition process, substrate is exposed to one and contains under the process gas that contains tantalum gas and at least a second predecessor of TAIMATA, contain tantalum material with deposition one on this substrate;
Utilize atom layer deposition process to contain on the tantalum material ground floor that deposition one contains the ruthenium material at this;
This substrate is exposed under the plasma etch process;
Utilize atom layer deposition process on this substrate, to deposit this and contain the second layer of ruthenium material; And
Contain deposition one copper-bearing materials on the ruthenium material at this.
5. method according to claim 4 is characterized in that, this contains tantalum material is to be selected from by in tantalum, tantalum nitride, tantalum nitride silicon, tantalum boron nitride, tantalum phosphorous nitride, tantalum nitride oxide, tantalum silicide and derivative thereof and its combination institute composition material.
6. method according to claim 4 is characterized in that this copper-bearing materials is deposited by physical vapour deposition (PVD) by one.
7. a method that forms a tantalum barrier layer in processing chamber on a substrate is characterized in that, comprising:
The one tantalum predecessor that comprises TAIMATA is heated to a predetermined temperature contains tantalum gas to form one;
This is contained tantalum gas flows in this processing chamber;
This contains tantalum gas and is attracted on the substrate and contains tantalum layer to form one;
Use one to remove gas cleaning procedure chamber;
At least a gas that contains second kind of element is flowed in this processing chamber;
Make this at least a gas that contains second kind of element contain the tantalum layer reaction to form a tantalum material with this;
Use this removing gas to clean this processing chamber; And
During the gas-phase deposition on described tantalum material the deposit ruthenium material, wherein deposit this ruthenium material and comprise:
This substrate is exposed under one first atom layer deposition process, contains the ruthenium layer with deposition one first on this substrate;
This substrate is exposed under the plasma etch process; And
This substrate is exposed under one second atom layer deposition process, contains the ruthenium layer with deposition one second on this substrate.
8. method according to claim 7 is characterized in that, this predetermined temperature is between 50 ℃ to 80 ℃.
9. method according to claim 8 is characterized in that, this substrate is heated to a temperature between 250 ℃ to 400 ℃.
10. method according to claim 7 is characterized in that, this at least a gas that contains second kind of element comprises the compound that is selected from the group that a nitrogen predecessor, a silicon predecessor, a boron predecessor, a phosphorus predecessor and combination thereof form.
11. method according to claim 10 is characterized in that, this nitrogen predecessor is to be selected from the group of being made up of ammonia, Nitrogen Atom, diamine, methyl diamine, dimethyl diamine and derivative thereof and its combination.
12. method according to claim 10 is characterized in that, this silicon predecessor is to be selected from the group of being made up of silane, disilane, methyl-monosilane, hexamethyldisilane, tetrachloro silicane, hexachloro-silane and derivative thereof and its combination.
13. method according to claim 10 is characterized in that, the thickness of this tantalum barrier layer is between 10 To 30
Figure C2005800232920004C2
Between scope.
14. method according to claim 7 is characterized in that, deposits a metal level on this ruthenium material, comprises a metal that is selected from by copper, tungsten, aluminium and alloy thereof and its group of forming in this metal level.
15. form a method that contains tantalum layer on the substrate in a processing chamber, it is characterized in that, comprising:
By the TAIMATA liquid precursor in the evaporator being exposed in the carrier gas stream that has heated, what have a predetermined temperature contains tantalum gas;
This substrate is exposed under the atom layer deposition process, this atom layer deposition process comprise a pulse this contain a nitrogenous gas of tantalum gas, a pulse and a silicon-containing gas of a pulse;
Contain tantalum material and reach a predetermined thickness to form one by repeating this atom layer deposition process; And
Contain deposition one metal on the tantalum material at this, wherein deposit this metal and comprise:
Utilize atom layer deposition process to contain the ground floor of this metal of deposition on the tantalum material at this;
This substrate is exposed under the plasma etch process; And
Utilize atom layer deposition process on this substrate, to deposit the second layer of this metal,
Wherein this metal comprises a metal that is selected from the group of being made up of tantalum and ruthenium.
16. method according to claim 15 is characterized in that, this predetermined temperature is between 50 ℃ to 80 ℃.
17. method according to claim 16 is characterized in that, this substrate is heated to a temperature between 250 ℃ to 400 ℃.
18. method according to claim 15 is characterized in that, this nitrogenous gas comprises a nitrogen predecessor, and this nitrogen predecessor is selected from the group of being made up of ammonia, Nitrogen Atom, diamine, methyl diamine, dimethyl diamine and derivative thereof and its combination.
19. method according to claim 18, it is characterized in that, this silicon-containing gas comprises a silicon predecessor, and this silicon predecessor is selected from the group of being made up of silane, disilane, methyl-monosilane, hexamethyldisilane, tetrachloro silicane, hexachloro-silane and derivative thereof and its combination.
20. method according to claim 19 is characterized in that, this predetermined thickness is between 10
Figure C2005800232920004C3
To 30
Figure C2005800232920004C4
Between.
21. deposition one contains tantalum layer to form the method for a device on the substrate in a processing chamber, it is characterized in that, comprising:
This substrate is exposed to successively under the process gas that contains at least a second predecessor that contains a tantalum gas and a pulse that contains TAIMATA of a pulse;
Deposition one contains tantalum material on this substrate;
Repeat this exposing step till this contains tantalum material and reaches a predetermined thickness; And
Contain on the tantalum material deposition one at this and contain the ruthenium layer, wherein deposit this and contain the ruthenium layer and comprise:
This substrate is exposed under one first atom layer deposition process, contains the ruthenium layer with deposition one first on this substrate;
This substrate is exposed under the plasma etch process; And
This substrate is exposed under one second atom layer deposition process, contains the ruthenium layer with deposition one second on this substrate.
22. method according to claim 21 is characterized in that, this is contained the predetermined temperature of tantalum gas heated between 50 ℃ to 80 ℃.
23. method according to claim 22 is characterized in that, this substrate is heated to a temperature between 250 ℃ to 400 ℃.
24. method according to claim 22 is characterized in that, this at least a second predecessor is selected from the group of being made up of a nitrogen predecessor, a silicon predecessor, a boron predecessor, a phosphorus predecessor and combination thereof.
25. method according to claim 24 is characterized in that, this nitrogen predecessor is selected from the group of being made up of ammonia, Nitrogen Atom, diamine, methyl diamine, dimethyl diamine and derivative thereof and its combination.
26. method according to claim 24 is characterized in that, this silicon predecessor is selected from the group of being made up of silane, disilane, methyl-monosilane, hexamethyldisilane, tetrachloro silicane, hexachloro-silane and derivative thereof and its combination.
27. method according to claim 24 is characterized in that, this predetermined thickness is between 10
Figure C2005800232920005C1
To 30
Figure C2005800232920005C2
Between.
28. method according to claim 27 is characterized in that, this contains tantalum material and is selected from the group of being made up of tantalum, tantalum nitride, tantalum nitride silicon, tantalum boron nitride, tantalum phosphorous nitride, tantalum nitride oxide, tantalum silicide and derivative thereof and its combination.
29. deposition one contains the method for tantalum grid layer on the substrate in a processing chamber, it is characterized in that, comprising:
Substrate is exposed under the atomic layer deposition cycles technology, this atomic layer deposition cycles technology comprise one contain TAIMATA contain tantalum gas pulses, a nitrogen predecessor pulse and one the 3rd predecessor pulse, contain tantalum material to generate one, wherein the 3rd predecessor is selected from by a silicon predecessor, a boron predecessor, a phosphorus predecessor and the group that forms thereof;
Repeat this atomic layer deposition cycles technology till this contains tantalum material and reaches a predetermined thickness; And
Contain on the tantalum material deposition one at this and contain the ruthenium layer, wherein deposit this and contain the ruthenium layer and comprise:
This substrate is exposed under one first atom layer deposition process, contains the ruthenium layer with deposition one first on this substrate;
This substrate is exposed under the plasma etch process; And
This substrate is exposed under one second atom layer deposition process, contains the ruthenium layer with deposition one second on this substrate.
30. method according to claim 29 is characterized in that, a Metal Contact is deposited upon this and contains on the tantalum material.
31. method according to claim 29 is characterized in that, this predetermined thickness is between 40
Figure C2005800232920006C1
To 200
Figure C2005800232920006C2
Between.
32. method according to claim 31 is characterized in that, this contains tantalum material and is selected from the group of being made up of tantalum nitride silicon, tantalum boron nitride, tantalum phosphorous nitride and derivative thereof and its combination.
33. method according to claim 32 is characterized in that, this contains tantalum material is that tantalum nitride silicon and silicon predecessor are selected from the group of being made up of silane, disilane and methyl-monosilane.
34. method according to claim 32 is characterized in that, this contains tantalum material is that tantalum boron nitride and boron predecessor comprise diborane.
35. method according to claim 32 is characterized in that, this contains tantalum material is that tantalum phosphorous nitride and phosphorus predecessor comprise phosphine.
CN200580023292A 2004-07-20 2005-07-19 The ald that contains tantalum material with tantalum predecessor TAIMATA Expired - Fee Related CN100576474C (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US58940204P 2004-07-20 2004-07-20
US60/589,402 2004-07-20
US60/590,216 2004-07-21
US11/061,039 2005-02-19

Publications (2)

Publication Number Publication Date
CN101015047A CN101015047A (en) 2007-08-08
CN100576474C true CN100576474C (en) 2009-12-30

Family

ID=38701576

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580023292A Expired - Fee Related CN100576474C (en) 2004-07-20 2005-07-19 The ald that contains tantalum material with tantalum predecessor TAIMATA

Country Status (1)

Country Link
CN (1) CN100576474C (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9607888B2 (en) 2014-02-03 2017-03-28 Tokyo Electron Limited Integration of ALD barrier layer and CVD Ru liner for void-free Cu filling
CN106981413A (en) * 2016-01-15 2017-07-25 台湾积体电路制造股份有限公司 Manufacture the method and metal gates heap of tantalum nitride separation layer

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101589777B1 (en) * 2008-08-01 2016-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method of forming a tantalum-containing layer on a substrate
KR20110084275A (en) * 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 Vapor deposition method for ternary compounds
TWI628305B (en) 2012-10-23 2018-07-01 應用材料股份有限公司 Deposition of films comprising aluminum alloys with high aluminum content
CN104975269B (en) * 2014-04-04 2017-06-23 广东丹邦科技有限公司 The preparation method of tantalum source presoma and preparation method thereof and TaN film resistors
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN105990221B (en) * 2015-02-04 2019-01-29 中芯国际集成电路制造(上海)有限公司 The method for forming metal interconnection
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
KR20220044338A (en) * 2019-08-09 2022-04-07 어플라이드 머티어리얼스, 인코포레이티드 Protective multi-layer coatings for processing chamber components
CN111534808A (en) * 2020-05-19 2020-08-14 合肥安德科铭半导体科技有限公司 Atomic layer deposition method of Ta-containing film and product thereof

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Characteristics of PAALD-TaN Thin Films Derived fromTAIMATA Precursor for Copper Metallization. Jong Won et.al.Proceedings of the IEEE 2004 international interconnect technology conference. 2004
Characteristics of PAALD-TaN Thin Films Derived fromTAIMATA Precursor for Copper Metallization. Jong Won et.al.Proceedings of the IEEE 2004 international interconnect technology conference. 2004 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9607888B2 (en) 2014-02-03 2017-03-28 Tokyo Electron Limited Integration of ALD barrier layer and CVD Ru liner for void-free Cu filling
TWI611045B (en) * 2014-02-03 2018-01-11 東京威力科創股份有限公司 Integration of ald barrier layer and cvd ru liner for void-free cu filling
CN106981413A (en) * 2016-01-15 2017-07-25 台湾积体电路制造股份有限公司 Manufacture the method and metal gates heap of tantalum nitride separation layer
CN106981413B (en) * 2016-01-15 2019-11-22 台湾积体电路制造股份有限公司 Manufacture the method and metal gates heap of tantalum nitride separation layer

Also Published As

Publication number Publication date
CN101015047A (en) 2007-08-08

Similar Documents

Publication Publication Date Title
CN100576474C (en) The ald that contains tantalum material with tantalum predecessor TAIMATA
US11367613B2 (en) Deposition of SiN
US7691742B2 (en) Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US10043655B2 (en) Plasma activated conformal dielectric film deposition
US7585762B2 (en) Vapor deposition processes for tantalum carbide nitride materials
US20100102417A1 (en) Vapor deposition method for ternary compounds
KR20210014180A (en) Apparatuses and methods for depositing sic and sicn films via cross-metathesis reactions with organometallic co-reactants
KR100974114B1 (en) Cyclical deposition of refractory metal silicon nitride
US8617985B2 (en) High temperature tungsten metallization process
TWI498447B (en) Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US20100098884A1 (en) Boron film interface engineering
KR20080101745A (en) Atomic layer deposition of tungsten materials
JP2004047996A (en) Vapor deposition method for nitrogen doped silicon carbide film
WO2013043330A1 (en) Plasma activated conformal dielectric film deposition
CN105390381A (en) Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors
US7989339B2 (en) Vapor deposition processes for tantalum carbide nitride materials

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091230

Termination date: 20130719