CN100524827C - 具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法 - Google Patents

具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法 Download PDF

Info

Publication number
CN100524827C
CN100524827C CNB038232502A CN03823250A CN100524827C CN 100524827 C CN100524827 C CN 100524827C CN B038232502 A CNB038232502 A CN B038232502A CN 03823250 A CN03823250 A CN 03823250A CN 100524827 C CN100524827 C CN 100524827C
Authority
CN
China
Prior art keywords
channel region
silicon
finfet
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB038232502A
Other languages
English (en)
Other versions
CN1685523A (zh
Inventor
林明仁
J·S·吴
汪海宏
相奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1685523A publication Critical patent/CN1685523A/zh
Application granted granted Critical
Publication of CN100524827C publication Critical patent/CN100524827C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Abstract

一种垂直双栅极MOSFET(FinFET)器件使用应变硅来改良载流子迁移率。在一种方法中,将FinFET基体(46)图形化形成一层覆盖于电介质层上(40)的硅锗(SiGe)(42)。接着在该硅锗FinFET基体(46)上形成硅外延层(34)。由于本征硅以及作为外延硅生长的模板的硅锗晶格尺寸不同,因而在外延硅中产生应力。应变硅比松散硅(relaxed silicon)具有更高的载流子迁移率,因此外延的应变硅在FinFET中提供较高的载流子迁移率。将应变硅沟道层用于FinFET中可实现较高的驱动电流。

Description

具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法
技术领域
本发明涉及半导体器件,更具体的,是涉及垂直双栅极MOSFET,也称为FinFET。
背景技术
金属氧化物半导体场效应晶体管(MOSFET)大部分半导体器件的主要构件。传统的MOSFET由半导体衬底所构成,该半导体衬底中形成有多个掺杂物注入有源区。因此,MOSFET的有源构件由半导体材料所围绕。然而,当沟道长度小于100nm时,MOSFET中使用半导体衬底架构会造成诸如短沟道效应的性能降低的效果。由于围绕有源区的半导体衬底的半导体材料使源极和漏极区域间互动,因而产生短沟道效应,降低MOSEFET栅极控制MOSFET中沟道区的导电性的能力。
一种代替传统半导体衬底架构为绝缘体上硅(SOI)架构。在SOI架构中,诸如MOSFET的器件由电介质衬底支撑以单片半导体结构(monolithic)方式形成,而不是以形成在半导体衬底中的区域方式形成。已知SOI器件比使用半导体衬底架构所形成的器件具有较多的优点,例如器件间隔离较良好、漏电流减少、CMOS组件间的闩锁(latch-up)减少、芯片电容减少、以及耦合在源极与漏极区域间的短沟道减少或免除。
有一种使用SOI架构形成的MOSFET结构传统上称为垂直双栅极MOSFET,或FinFET。如图1a所示,FinFET由包含有源极区域12、漏极区域14与鳍状的沟道区域16的硅体所构成。源极区域12、漏极区域14与沟道区域16由单片硅体所形成,该单片硅体由电介质衬底18上的硅层图形化而来。将硅体图形化后,在硅体上生长或沉积栅极氧化物,接着将如图1b所示的导电栅极20图形化以围绕沟道区域16。该导电栅极20由诸如多晶硅的导电材料图形化而来。图2显示了图1b的FinFET的栅极和沟道区域沿线A-A’的剖面图。如图2所示,栅极氧化物22间隔开导电栅极20与沟道区域16,导电栅极20围绕着沟道区域16的两侧壁,因而作为双栅极将栅极电压分至沟道区域16的两侧边。FinFET的沟道宽度因此约为沟道区域高度的两倍,致使比相同尺寸的半导体衬底MOSFET有更高的驱动电流。
虽然传统的FinFET因为SOI架构而比形成在半导体衬底上的MOSFET提供上述的好处,但是一些诸如载流子迁移率的FinFET的基本特性与其它MOSFET相同,因为源极、漏极和沟道区域通常由诸如硅的传统MOSFET半导体材料所形成。
发明内容
因此本发明的一个目的是通过改善诸如载流子迁移率的器件特性,提供一种比传统FinFET更具改良性的FinFET器件。
根据本发明的实施例,从覆盖于电介质层上的硅锗层图形化FinFET基体,接着在硅锗FinFET基体上形成应变硅的外延层。由于松散的本征硅晶格(relaxed intrinsic silicon lattice)以及作为外延层生长的模板的硅锗晶格尺寸不同,因而在外延硅上施加了拉应力。应变硅比松散硅具有较高的载流子迁移率,因此外延的应变硅在FinFET中提供较高的载流子迁移率。所以利用应变硅沟道层能够在FinFET中实现较高的驱动电流。
根据本发明的一个实施例,绝缘体上硅MOSFET器件包括具备电介质层的衬底。将FinFET基体形成在电介质层上。FinFET基体通常为硅锗。FinFET基体包含有源极和漏极区域,且沟道区域延伸于源极和漏极区域之间。将应变硅层至少形成在沟道区域的表面上。将导电栅极围绕在沟道区域两侧与上部分,并且由栅极绝缘层和应变硅分隔开。
根据本发明的另一实施例,绝缘体上硅MOSFET器件通过首先提供包括附于电介质层上的半导体层的SOI衬底所形成。该半导体层通常为硅锗。将半导体层图形化而形成包括有源极和漏极区域以及延伸在两者之间的沟道区域的FinFET基体。接着至少在沟道区域的表面形成应变硅层。至少在沟道区域上生长的应变硅上形成栅极绝缘层,以覆盖沟道区域上生长的应变硅。形成导电栅极。导电栅极围绕沟道区域的两侧与上部分,并且由栅极绝缘层和应变硅分隔开。
根据本发明的又一实施例,可将多个上述的FinFET结合形成CMOS器件,并可将FinFET基体形成有多个沟道区域,以提供更大的沟道宽度。
附图说明
结合附图说明本发明的实施例,其中:
图1a显示了传统FinFET基体的一部分;
图1b显示了形成在图1a所示的传统FinFET基体部分上的栅极部分;
图2显示了沿着图1a的A-A’线的传统FinFET栅极和沟道的剖面图;
图3显示了根据本发明实施例所形成的FinFET的FinFET栅极和沟道的剖面图;
图4a显示了用于形成根据本发明的实施例的FinFET的衬底和掩膜;
图4b显示了在蚀刻衬底形成FinFET基体以后的图4a的结构;
图4c显示了形成栅极之后的图4b的结构;
图5a显示了将氧注入硅锗衬底;
图5b显示了经过退火形成具有埋入氧化层(BOX)的硅锗SOI衬底之后的图5a的结构;
图5c显示了从硅锗图形化FinFET基体之后的图5b的结构;
图6a显示了将氢注入硅锗衬底;
图6b显示了在被转翻并粘接到第二衬底的氧化层之后的图6a的结构;
图6c显示了经过退火以在注入氢的区域中断裂第一衬底之后的图6b的结构;
图6d显示了经过平坦化以形成硅锗SOI衬底之后的图6c的结构;以及
图7显示了包括较佳的以及替代实施例的流程。
具体实施方式
图3中显示了根据本发明一实施例所形成的FinFET栅极和沟道剖面图。由图3可见,该结构包括有其上生长外延应变硅层34的硅锗沟道区域32。由于晶格中有较大的锗原子存在,所以硅锗沟道区域32的硅锗晶格通常比本征硅晶格间隔宽。因为硅晶格的原子与分布较宽的硅锗晶格对齐,所以在硅层中产生拉应力。硅原子实质上被彼此拉开。施加在硅晶格上的拉应力量与硅锗晶格中锗的数量成正比。所以,生长在硅锗沟道区域32上的外延硅层34受到拉应力。拉应力施加在硅晶格造成六个硅价带之中的四个能量增加,而两个能量减少。由于量子效应,当电子通过应变硅的较低能带时,电子有效重量减少30%。因此较低能带对电子流提供较少的电阻。另外,电子遭受到来自硅原子核的较少震动能量,使电子比在松散硅中的电子减少500至1000倍的散率度。所以,相对于松散硅,应变硅中的载流子迁移率能大幅的增加,提供电子迁移率80%或更多的潜在增加,空穴迁移率20%的增加。已知迁移率的增加可持续到每厘米1.5百万伏。(megavolts/centimeter)的电流场。相信这些要素能不减少器件尺寸而增加35%的器件速度,或不降低性能而减少25%的功耗。
如图3更进一步显示,将栅极绝缘层36形成在外延硅层34上,而双栅极结构38覆盖在栅极绝缘层36上并围绕着沟道区域32形成。
因为应变硅层形成在沟道区域以及源极和漏极区域的表面,所以图3所示的结构形成显出更好的载流子迁移率的FinFET的部分。沟道区域的剖面的宽高比可能越高越好,以形成完全耗尽且提供最大有效沟道宽度的高且窄的沟道区域。
参照图4a至4c说明根据本发明较佳实施例的形成FinFET的过程。
图4a显示了包括电介质层40和松散的硅锗层42的SOI衬底。下文将描述多种形成该衬底的方式。硅锗层42最好为Si1-xGex的组合物,其中x约等于0.2,且通常于0.1至0.3范围之间。
用于蚀刻硅锗层42的掩膜44形成在硅锗层42上。该掩膜最好为硬质掩膜,由使用类似形状的光刻胶掩膜作为蚀刻掩膜蚀刻双层结构而成。
图4b显示了图4a经过使用掩膜44将未掩膜的硅锗去除后由此形成硅锗FinFET基体46的结构。
将外延应变硅层选择性生长在硅锗FinFET基体46上。外延应变硅层最好使用Si2H6作为源气体,以及30mPa的分压力与大约摄氏600至900度的衬底温度的化学气相沉积所形成。因为硅原子通过粘接至硅锗晶格的对应的原子而对齐,所以在硅晶格中产生力量使外延硅层形成时受应力。在较佳实施例中,外延应变硅具有100至200埃的厚度。
在生长应变硅层之后,在应变硅层上形成氧化硅的栅极绝缘层。可通过从应变硅层上沉积或选择性生长该氧化硅。应变硅层最后的厚度最好约为80至200埃。所以,先前生长的应变硅的数量部分由是否在氧化物生长中消耗一些应变硅而定。
在形成栅极绝缘层之后,形成栅极48,得到如图4c所示者。最好在包括硅锗FinFET基体46的衬底上通过沉积一层共形的多晶硅层,接着将多晶硅层平坦化,然后使用蚀刻掩膜图形化以定义该栅极48,如图4c所示。
在栅极48的图形化之后,可执行更进一步的处理,如在栅极两侧壁上形成绝缘间隔体、在源极和漏极中注入掺杂物、形成源极、漏极和栅极硅化物、以及在FinFET四周形成保护层。
虽然参考图4a至4c所述的过程是关于形成单一FinFET的过程,但应了解可使用类似的处理方式实施多样的代替结构。例如,在替代实施例中,一对分别具有互补的掺杂物的FinFET可以具有互相电性连结的栅极,由此组成CMOS FinFET。在另一替代实施例中,FinFET的源极和漏极可通过多个延伸于其间的沟道区域所连接,因此提供沟道区域与栅极间更多接触表面积以及更大的沟道宽度。
可用多种不同方式提供硅锗SOI衬底。图5a、5b、和5c显示了在硅锗衬底中使用注入的氧以形成埋入氧化层(buried oxide;BOX)所形成的结构。如图5a所示,提供硅锗衬底50。该衬底通常包括有一层生长在硅晶片上的硅锗。生长硅锗的方式有例如,使用Si2H6(乙硅烷)和GeH4(锗烷)作为源气体、衬底温度摄氏600至900度、30mPa的Si2H6分压力,以及60mPa的GeH4分压力的化学气相沉积。硅锗物质的生长可初步使用这些比例,或代替性的可渐渐从低压或零压增加GeH4的分压力,以形成梯度的组合物。用来形成FinFET基体的硅锗层的部分最好具有均匀的构成。
如图5a所示,以足够的能量将氧52注入硅锗衬底50中,形成氧化区域54的深度为能够在氧化区域上留下所需厚度的硅锗。
图5b显示了图5a的硅锗衬底50退火后以在衬底中形成埋入硅锗氧化层56的结构。通常退火在摄氏1350度下执形四个小时左右,且通常作为放松任何硅锗晶格中残留的应力。氧化层56作为之后将单片衬底形成于其上的电介质层,如上所述。图5c显示了图5b在图形化硅锗FinFET基体58(沟道区域的剖面所示)以形成覆于氧化层56上的硅锗层之后的结构。
图6a至6d显示了根据形成硅锗SOI衬底的第二方法所形成的结构。图6a显示了平坦化的硅锗衬底60。将氢62注入硅锗衬底60以在硅锗材料中形成富含氢的区域64。用一能量将氢62注入硅锗衬底60,使留在富氢区域的硅锗的数量超过将形成于SOI衬底上的硅锗层的厚度。较佳方式为将氢注入硅锗的受应力区域。
图6b显示了图6a的硅锗在被清理干净、在稀释的HF溶剂中剥除氧化物、用除去离子水冲洗以在其表面上形成激活的天然氧化物、然后反向并粘接到形成在第二衬底70的半导体层74上的平坦化氧化层72之后的结构。可在室温下进行粘接,且为了粘接最佳化,两衬底需要精准的平坦化以提供最大接触面积。
在粘接之后,将粘接的衬底退火,例如在摄氏600度的温度下大约三小时。如图6c所示,退火使硅锗衬底沿着富氢区域64断裂,因此留下包含有粘接到氧化层72的硅锗层76的新的衬底,并且在衬底上表面具有剩余的富含氢的区域64。
接着将新的衬底平坦化并清洗,留下如图6d所示的硅锗SOI衬底,如上所述可从该衬底图形化硅锗FinFET基体。
图7描述了包含用于形成SOI MOSFET器件的较佳实施例、前文的替代例、以及其它替代例的流程。首先提供SOI衬底(80)。该SOI衬底包含有覆于电介质层上的半导体层。该半导体层最好为硅锗,而该电介质层最好为氧化硅锗或二氧化硅。接着将半导体层图形化以形成包括有源极和漏极区域以及其间延伸有沟道区域的FinFET基体(82)。然后将一层应变硅至少形成在沟道区域上(84),但可额外地将应变硅形成在整个FinFET基体的表面。将栅极绝缘层至少形成在生长在FinFET基体的沟道区域上的应变硅上,以覆盖沟道区域上生长的应变硅(86)。栅极绝缘层可为从应变硅生长而来的氧化物或可沉积在应变硅上。形成导电栅极(88)。该栅极环绕着区域沟道的侧壁与上部分,并且通过栅极绝缘层以及应变硅层与沟道区域分开。如上述,可用该流程形成多种结构实施例,包括图4a-4c所示的单一器件、以及CMOS器件、具有多个区域沟道的器件、以及使用不同材料成分与材料层结构的器件。
另外,如本文中所述的结合应变硅的FinFET结构也可由替代方法所实施,如在半导体FinFET基体上生长硅锗层,或在硅FinFET基体中注入锗,接着在FinFET基体的表面上的硅锗晶格中生长应变硅。更进一步,可通过将碳注入硅FinFET基体的表面形成应变硅。所以,根据本发明的应变硅FinFET的特征通常为包括至少形成在FinFET基体的沟道区域上的应变硅层。通常,FinFET基体至少在其沟道区域的表面上包括一层硅锗。
在另外代替实施例中,可通过注入锗至支撑的氧化层中来扩展氧化层,以增加半导体层中的应力。在又一实施例中,可使用具有硅锗的晶格空隙的高k(高电介系数)材料作为栅极绝缘层,以给应变硅层中的应力提供额外的支持。
对本领域技术人员可轻易了解上述流程中的任务并不排除其它任务,而是根据将形成的特定结构可将另外的任务包括到上述的流程中。例如,如在处理任务之间的形成和去除钝化层或保护层的中间处理任务、形成与去除光刻胶掩膜和其它掩膜层、掺杂与反掺杂、清洁、平坦化、以及其它的任务,可与上述的特定任务一起执行。另外,不需要在一整片的衬底上如整个晶片上执行该工艺,也可在衬底某部分上选择性的执行。因此,虽然图中与上文所描述的实施例为最佳例子,但应了解到这些实施例仅为示意性的。本发明并不限于某特定实施例,而可延伸至落入本发明权利保护以及其均等物范围内的各种更改,合并,以及变形。

Claims (8)

1.一种绝缘体上硅金属氧化物半导体场效应晶体管器件,包括:
具有电介质层(40)的衬底;
形成在该电介质层(40)上的硅锗垂直双栅极场效应晶体管基体(46),该垂直双栅极场效应晶体管基体(46)包括其中间延伸有沟道区域的源极和漏极区域;
一层应变硅(34),至少形成在该垂直双栅极场效应晶体管基体的沟道区域表面上,该表面包含该沟道区域的侧壁以及顶部;
栅极绝缘层(36),至少形成在该沟道区域上以覆盖形成于该沟道区域表面上的应变硅(34);以及
导电栅极(48),围绕着该沟道区域的侧壁以及顶部,并且通过该栅极绝缘层与该应变硅层将该导电栅极与该沟道区域分隔开。
2.如权利要求1所述的器件,其中该垂直双栅极场效应晶体管基体(46)包括第一垂直双栅极场效应晶体管基体,以及该器件中还包括:
第二硅锗垂直双栅极场效应晶体管基体,其形成在该电介质层上,该第二垂直双栅极场效应晶体管基体包括其中间延伸有第二沟道区域的第二源极和漏极区域;
一层应变硅,形成在该第二沟道区域表面上,该表面包含该第二沟道区域的侧壁以及顶部;
栅极绝缘层,至少形成在该第二沟道区域上以覆盖形成在该第二沟道区域表面上的应变硅;以及
第二导电栅极,围绕着该第二沟道区域的侧壁以及顶部,并且通过形成在该第二沟道区域上的该栅极绝缘层与该应变硅层将该第二导电栅极与该第二沟道区域分隔开,而且该第二导电栅极与围绕着该第一垂直双栅极场效应晶体管基体的沟道区域的导电栅极电性连接,
第一垂直双栅极场效应晶体管基体的源极和漏极掺杂第一掺杂物,并且第二垂直双栅极场效应晶体管基体的源极和漏极掺杂与第一掺杂物互补的第二掺杂物。
3.如权利要求1所述的器件,其中还包括形成在该导电栅极的侧壁上的间隔体。
4.一种形成绝缘体上硅金属氧化物半导体场效应晶体管器件的方法,包括:
提供包括覆盖在电介质层上的硅锗半导体层(42)的绝缘体上硅锗衬底(40);
图形化该半导体层(42)以形成包括其中间延伸有第一沟道区域的源极和漏极区域的硅锗垂直双栅极场效应晶体管基体(46);
至少在该第一沟道区域表面上形成一层应变硅(34),该表面包含该第一沟道区域的侧壁以及顶部;
至少在生长在该第一沟道区域上的应变硅(34)上形成栅极绝缘层(36),以覆盖该第一沟道区域上的应变硅(34);以及
形成围绕着该沟道区域的侧壁以及顶部的导电栅极,并且通过该栅极绝缘层(36)和该应变硅层(34)将该导电栅极与该第一沟道区域分隔开。
5.如权利要求4所述的方法,其中该垂直双栅极场效应晶体管基体(46)包括第一垂直双栅极场效应晶体管基体,而且其中该方法还包括:
与该第一垂直双栅极场效应晶体管基体的图形化同时进行该半导体层的图形化,以形成包括其中间延伸有第二沟道区域的第二源极和漏极区域的第二硅锗垂直双栅极场效应晶体管基体;
与在该第一垂直双栅极场效应晶体管基体上生长应变硅层同时进行,至少在该第二垂直双栅极场效应晶体管基体的第二沟道区域表面上形成一层应变硅,该表面包含该第二沟道区域的侧壁以及顶部;
与在该第一垂直双栅极场效应晶体管基体上形成栅极绝缘层同时进行,至少在生长在该第二垂直双栅极场效应晶体管基体的第二沟道区域上的应变硅上形成栅极绝缘层,以覆盖生长在该第二沟道区域上的应变硅;以及
与在该第一垂直双栅极场效应晶体管基体上形成该导电栅极同时进行,形成围绕着该第二沟道区域的侧壁以及顶部的第二导电栅极,并且通过形成在该第二沟道区域上的该栅极绝缘层与该应变硅层将该第二导电栅极与该第二沟道区域分隔开,
其中第一垂直双栅极场效应晶体管基体的导电栅极和第二垂直双栅极场效应晶体管基体的导电栅极电性连接。
6.如权利要求5所述的方法,其中该垂直双栅极场效应晶体管基体(46)具有至少延伸于该源极和漏极区域之间的该第一和第二沟道区域。
7.如权利要求4所述的方法,其中提供包括覆盖在电介质层上的硅锗半导体层的绝缘体上硅锗衬底包括:
提供包括硅锗层的衬底;
将氧注入该硅锗层中;以及
将该衬底退火以在该硅锗层中形成埋入硅锗氧化物层。
8.如权利要求4所述的方法,其中提供包括覆盖在电介质层上的硅锗半导体层的绝缘体上硅锗衬底包括:
提供包括硅锗层的第一衬底;
将氢注入该硅锗层中以在硅锗层中形成富含氢的区域;
将该第一衬底粘接至第二衬底的氧化层;
将粘接的第一和第二衬底退火使第一衬底在富含氢的区域中断裂;以及
去除该第一衬底以形成具有粘接到该氧化层的硅锗层的第二衬底。
CNB038232502A 2002-09-30 2003-09-12 具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法 Expired - Lifetime CN100524827C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US41522602P 2002-09-30 2002-09-30
US60/415,226 2002-09-30
US10/335,474 2002-12-31
US10/335,474 US6800910B2 (en) 2002-09-30 2002-12-31 FinFET device incorporating strained silicon in the channel region

Publications (2)

Publication Number Publication Date
CN1685523A CN1685523A (zh) 2005-10-19
CN100524827C true CN100524827C (zh) 2009-08-05

Family

ID=32033323

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038232502A Expired - Lifetime CN100524827C (zh) 2002-09-30 2003-09-12 具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法

Country Status (9)

Country Link
US (1) US6800910B2 (zh)
EP (1) EP1547156B1 (zh)
JP (1) JP2006501672A (zh)
KR (1) KR101020811B1 (zh)
CN (1) CN100524827C (zh)
AU (1) AU2003266149A1 (zh)
DE (1) DE60335391D1 (zh)
TW (1) TWI364095B (zh)
WO (1) WO2004032246A1 (zh)

Families Citing this family (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US7091068B1 (en) * 2002-12-06 2006-08-15 Advanced Micro Devices, Inc. Planarizing sacrificial oxide to improve gate critical dimension in semiconductor devices
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
WO2004073044A2 (en) * 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
KR100483425B1 (ko) * 2003-03-17 2005-04-14 삼성전자주식회사 반도체소자 및 그 제조 방법
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
EP1643560A4 (en) * 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6943405B2 (en) * 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
EP1503424A3 (en) * 2003-07-25 2008-06-04 Interuniversitair Micro-Elektronica Centrum (IMEC) Multiple gate semiconductor device and method for forming same
JP2005051241A (ja) * 2003-07-25 2005-02-24 Interuniv Micro Electronica Centrum Vzw 多層ゲート半導体デバイス及びその製造方法
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6970373B2 (en) * 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
KR100605497B1 (ko) * 2003-11-27 2006-07-28 삼성전자주식회사 에스오아이 기판들을 제조하는 방법들, 이를 사용하여반도체 소자들을 제조하는 방법들 및 그에 의해 제조된반도체 소자들
US6924178B2 (en) * 2003-12-08 2005-08-02 International Business Machines Corporation Oxide/nitride stacked in FinFET spacer process
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US6936516B1 (en) * 2004-01-12 2005-08-30 Advanced Micro Devices, Inc. Replacement gate strained silicon finFET process
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100781538B1 (ko) * 2004-02-07 2007-12-03 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터용 액티브 구조의제조 방법, 이에 의해 제조된 액티브 구조 및 멀티 게이트트랜지스터
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100625175B1 (ko) * 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US7084461B2 (en) * 2004-06-11 2006-08-01 International Business Machines Corporation Back gate FinFET SRAM
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US6951784B1 (en) * 2004-08-05 2005-10-04 International Business Machines Corporation Three-mask method of constructing the final hard mask used for etching the silicon fins for FinFETs
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
KR100674914B1 (ko) * 2004-09-25 2007-01-26 삼성전자주식회사 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법
DE102005045078B4 (de) * 2004-09-25 2009-01-22 Samsung Electronics Co., Ltd., Suwon Feldeffekttransistor mit einer verspannten Kanalschicht an Seitenwänden einer Struktur an einem Halbleitersubstrat
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
KR100652381B1 (ko) * 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
CN100392859C (zh) * 2004-11-03 2008-06-04 中国科学院微电子研究所 一种鱼脊形场效应晶体管的结构和制备方法
JP2006135067A (ja) * 2004-11-05 2006-05-25 Toshiba Corp 半導体装置およびその製造方法
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
KR100630723B1 (ko) * 2004-12-06 2006-10-02 삼성전자주식회사 다중가교채널을 가진 반도체 소자 및 그 제조방법
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
JP4369379B2 (ja) * 2005-02-18 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
JP4924419B2 (ja) 2005-02-18 2012-04-25 富士通セミコンダクター株式会社 記憶素子マトリックス、及び、その記憶素子マトリックスを用いた半導体回路装置
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP4367357B2 (ja) * 2005-02-28 2009-11-18 セイコーエプソン株式会社 半導体装置の製造方法
US20060197129A1 (en) * 2005-03-03 2006-09-07 Triquint Semiconductor, Inc. Buried and bulk channel finFET and method of making the same
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8110863B2 (en) 2005-06-01 2012-02-07 Sandisk 3D Llc TFT charge storage memory cell having high-mobility corrugated channel
JP4648096B2 (ja) * 2005-06-03 2011-03-09 株式会社東芝 半導体装置の製造方法
WO2006132172A1 (ja) * 2005-06-07 2006-12-14 Nec Corporation フィン型電界効果型トランジスタ、半導体装置及びその製造方法
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7902598B2 (en) 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7375394B2 (en) * 2005-07-06 2008-05-20 Applied Intellectual Properties Co., Ltd. Fringing field induced localized charge trapping memory
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7400031B2 (en) * 2005-09-19 2008-07-15 International Business Machines Corporation Asymmetrically stressed CMOS FinFET
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7402856B2 (en) * 2005-12-09 2008-07-22 Intel Corporation Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same
US7439588B2 (en) * 2005-12-13 2008-10-21 Intel Corporation Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate
US7512017B2 (en) * 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US20070148926A1 (en) * 2005-12-28 2007-06-28 Intel Corporation Dual halo implant for improving short channel effect in three-dimensional tri-gate transistors
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
KR100719180B1 (ko) * 2006-02-28 2007-05-17 주식회사 하이닉스반도체 새들형트랜지스터와 핀형트랜지스터를 동시에 구비하는메모리소자 및 그의 제조 방법
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7425500B2 (en) 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US7407847B2 (en) * 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
JP4552908B2 (ja) * 2006-07-26 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US7435683B2 (en) * 2006-09-15 2008-10-14 Intel Corporation Apparatus and method for selectively recessing spacers on multi-gate devices
US20080097346A1 (en) * 2006-09-19 2008-04-24 Alcon, Inc. Trocar cannula
US7700470B2 (en) 2006-09-22 2010-04-20 Intel Corporation Selective anisotropic wet etching of workfunction metal for semiconductor devices
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
US8368144B2 (en) * 2006-12-18 2013-02-05 Infineon Technologies Ag Isolated multigate FET circuit blocks with different ground potentials
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US7538391B2 (en) * 2007-01-09 2009-05-26 International Business Machines Corporation Curved FINFETs
US20080173942A1 (en) * 2007-01-22 2008-07-24 International Business Machines Corporation STRUCTURE AND METHOD OF MANUFACTURING A STRAINED FinFET WITH STRESSED SILICIDE
DE102007008562A1 (de) * 2007-02-21 2008-08-28 Qimonda Ag Feldeffekttransistor-Anordnung
US7821061B2 (en) 2007-03-29 2010-10-26 Intel Corporation Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
KR101361129B1 (ko) * 2007-07-03 2014-02-13 삼성전자주식회사 발광소자 및 그 제조방법
KR101093588B1 (ko) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
US7671418B2 (en) * 2007-09-14 2010-03-02 Advanced Micro Devices, Inc. Double layer stress for multiple gate transistors
US8288756B2 (en) * 2007-11-30 2012-10-16 Advanced Micro Devices, Inc. Hetero-structured, inverted-T field effect transistor
ES2489615T3 (es) * 2007-12-11 2014-09-02 Apoteknos Para La Piel, S.L. Uso de un compuesto derivado del acido p-hidroxifenil propionico para el tratamiento de la psoriasis
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
EP2528087B1 (en) 2008-09-19 2016-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US20100155801A1 (en) * 2008-12-22 2010-06-24 Doyle Brian S Integrated circuit, 1T-1C embedded memory cell containing same, and method of manufacturing 1T-1C memory cell for embedded memory application
US7999298B2 (en) * 2008-12-30 2011-08-16 Intel Corporation Embedded memory cell and method of manufacturing same
WO2010114956A1 (en) 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8283653B2 (en) * 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
EP2477216A1 (en) * 2011-01-13 2012-07-18 Soitec Hybrid bulk/SOI device with a buried doped layer and manufacturing method thereof
US8852998B1 (en) * 2011-08-30 2014-10-07 Sandia Corporation Method to fabricate micro and nano diamond devices
US9040399B2 (en) 2011-10-27 2015-05-26 International Business Machines Corporation Threshold voltage adjustment for thin body MOSFETs
CN103137671B (zh) * 2011-12-02 2015-06-24 中芯国际集成电路制造(上海)有限公司 多栅极场效应晶体管及其制作方法
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US9947773B2 (en) * 2012-08-24 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement with substrate isolation
CN103219384B (zh) * 2013-04-03 2015-05-20 北京大学 一种抗单粒子辐射的多栅器件及其制备方法
CN104183488A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种FinFET半导体器件及其制备方法
US9000498B2 (en) * 2013-06-28 2015-04-07 Stmicroelectronics, Inc. FinFET with multiple concentration percentages
KR101462430B1 (ko) * 2013-07-18 2014-11-18 경북대학교 산학협력단 반도체 소자의 제조 방법
WO2015047300A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Forming led structures on silicon fins
KR102135306B1 (ko) * 2013-09-27 2020-07-17 인텔 코포레이션 최대화된 컴플라이언스 및 자유 표면 완화를 갖는 Ge 및 III-V족 채널 반도체 소자들
WO2015047341A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
US9391171B2 (en) 2014-01-24 2016-07-12 International Business Machines Corporation Fin field effect transistor including a strained epitaxial semiconductor shell
CN104022152B (zh) * 2014-06-04 2017-03-01 重庆大学 带有压应变薄膜应变源的双栅p沟道MOSFET及制备方法
US9178068B1 (en) 2014-06-05 2015-11-03 International Business Machines Corporation FinFET with oxidation-induced stress
CN105280496B (zh) 2014-06-05 2019-06-11 联华电子股份有限公司 具有鳍状结构的半导体元件及其制作方法
US9502565B2 (en) 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9941406B2 (en) 2014-08-05 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with source/drain cladding
US9306067B2 (en) 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
CN105529241A (zh) * 2014-09-29 2016-04-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102255174B1 (ko) 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9496259B2 (en) 2015-04-14 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having fins with stronger structural strength
US9583626B2 (en) 2015-04-29 2017-02-28 International Business Machines Corporation Silicon germanium alloy fins with reduced defects
US10079302B2 (en) 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
FR3053834B1 (fr) * 2016-07-05 2020-06-12 Stmicroelectronics Sa Structure de transistor
CN109920846B (zh) * 2019-03-11 2023-11-03 长江存储科技有限责任公司 晶体管及其形成方法、存储器
CN111509048A (zh) * 2020-04-28 2020-08-07 上海华力集成电路制造有限公司 N型鳍式晶体管及其制造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2681472B1 (fr) * 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP2002043576A (ja) * 2000-07-24 2002-02-08 Univ Tohoku 半導体装置
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6611029B1 (en) * 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates

Also Published As

Publication number Publication date
TWI364095B (en) 2012-05-11
US20040061178A1 (en) 2004-04-01
CN1685523A (zh) 2005-10-19
JP2006501672A (ja) 2006-01-12
US6800910B2 (en) 2004-10-05
EP1547156B1 (en) 2010-12-15
KR20050047129A (ko) 2005-05-19
TW200414452A (en) 2004-08-01
DE60335391D1 (de) 2011-01-27
KR101020811B1 (ko) 2011-03-09
WO2004032246A1 (en) 2004-04-15
AU2003266149A1 (en) 2004-04-23
EP1547156A1 (en) 2005-06-29

Similar Documents

Publication Publication Date Title
CN100524827C (zh) 具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法
JP4521542B2 (ja) 半導体装置および半導体基板
CN1762056B (zh) 具有拉伸应变基片的半导体及其制备方法
US7138302B2 (en) Method of fabricating an integrated circuit channel region
TWI352433B (en) Stressed field effect transistors on hybrid orient
CN1985374B (zh) 改进的应变硅cmos器件和方法
KR100903902B1 (ko) 변형 채널 영역을 갖는 비평면형 mos 구조
US7211458B2 (en) Methods of fabricating strained semiconductor-on-insulator field-effect transistors and related devices
CN101233605B (zh) 用于制造受应力的mos器件的方法
EP1638149B1 (fr) Procédé de fabrication d'un transistor à effet de champ à grille isolée à canal à hétérostructure
US20070023795A1 (en) Semiconductor device and method of fabricating the same
US20060118776A1 (en) Field effect transistor
JP6786755B2 (ja) 異なる歪み状態を有するフィン構造を含む半導体構造を作製するための方法及び関連する半導体構造
KR20060090242A (ko) 상이한 격자 상수를 갖는 재료들을 구비한 반도체 구조체및 그 형성 방법
US7968946B2 (en) Higher performance CMOS on (110) wafers
US7033869B1 (en) Strained silicon semiconductor on insulator MOSFET
US20090166761A1 (en) Field effect transistor structure with an insulating layer at the junction
US7015078B1 (en) Silicon on insulator substrate having improved thermal conductivity and method of its formation
JP5018780B2 (ja) 半導体装置およびその製造方法
US6900143B1 (en) Strained silicon MOSFETs having improved thermal dissipation
JP3790238B2 (ja) 半導体装置
JP2004055943A (ja) 半導体装置とその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20090805

CX01 Expiry of patent term