CN100511594C - 氧化铪铝介质薄膜 - Google Patents

氧化铪铝介质薄膜 Download PDF

Info

Publication number
CN100511594C
CN100511594C CNB038177145A CN03817714A CN100511594C CN 100511594 C CN100511594 C CN 100511594C CN B038177145 A CNB038177145 A CN B038177145A CN 03817714 A CN03817714 A CN 03817714A CN 100511594 C CN100511594 C CN 100511594C
Authority
CN
China
Prior art keywords
pulse
precursor
reative cell
contain
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB038177145A
Other languages
English (en)
Other versions
CN1672244A (zh
Inventor
K·Y·阿恩
L·福尔贝斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1672244A publication Critical patent/CN1672244A/zh
Application granted granted Critical
Publication of CN100511594C publication Critical patent/CN100511594C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Abstract

一种含HfAlO3的介质薄膜和一种制作这一类介质薄膜的方法生产出了一种可靠的栅介质,它具有的等效氧化物厚度比采用SiO2可能得到的要薄。栅介质通过采用铪顺序和铝顺序的原子层沉积法形成。铪顺序采用了HfCl4和水蒸气。铝顺序采用了或者是三甲基铝,Al(CH3)3,或者DMEAA,一种铝烷(AlH3)和二甲基乙胺[N(CH3)2(C2H5)]的加成物,加上蒸馏水蒸气。这些含HfAlO3薄膜的栅介质均是热力稳定的,以致这HfAlO3薄膜在加工过程中与硅衬底或其它结构有极微弱的反应。

Description

氧化铪铝介质薄膜
相关申请
本申请与以下的,共同未决的,共同转让的申请有关,这些有关申请在此引入作参考:
美国申请号10/137499,代理卷号1303.050US1标题:“用作栅介质的原子层沉积LaAIO3薄膜”,
美国申请号10/137058,代理卷号303.802 US1标题:“原子层沉积和转化”,
美国申请号10/137168,代理卷号1303.048US1标题:“利用ULSI栅原子层沉积法形成的,用作栅介质层的AlOx原子层”和
美国申请号09/797324,代理卷号303.717US1标题:“均匀化学气相沉积法所采用的方法,系统,和设备”。
发明领域
本发明涉及半导体器件和器件的制作。特别是,本发明涉及晶体管器件的栅介质层和它们的制作方法。
发明背景
半导体器件制造业有着驱使其需要改善速率性能,改善其低静态(静止态)功率要求和适应对硅基微电子产品的各式各样的电源要求及输出电压要求等方面的市场需求。尤其是在晶体管制作中,有着面临要求减小器件例如晶体管尺寸的持续压力。最终的目的是制作出越来越小的和更可靠的集成电路(IC)供在例如信息处理机芯片,移动电话机或存储器例如DRAM等产品中使用。较小的器件经常是由蓄电池供电,故也面临要求减小蓄电池尺寸和延长电池充电之间的时间的压力的处境。这促使工业界不仅要设计更小的晶体管而且要将它们设计成能在较低的电源下可靠工作。
目前,半导体工业有赖于减小或按比例缩小基本器件,主要是硅基金属氧化物半导体场效应晶体管(MOSFET),的尺寸的能力。这一类晶体管的常见构型示于图1。虽然以下的讨论应用了图1来阐明利用现有技术制造的晶体管,但本领域的每一位技术人员都承认可以将本发明引入图1所示晶体管中,以制成与本发明相应的新型晶体管。晶体管100用通常为硅的衬底110制成,但也可以用其它的半导体材料制成。晶体管100具有第一源极/漏极区120和第二源极/漏极区130。主体区132位于第一源极/漏极区和第二源极/漏极区之间,此处的主体区132定义了具有沟道长度134的晶体管沟道。栅介质,或栅氧化物140位于主体区132内,而栅150位于栅介质的上方。虽然栅介质可以是由氧化物之外的材料形成,但栅介质通常是氧化物,并常称为栅氧化物。栅可以用多晶硅制成,或用其它导电材料制成例如可以使用金属。
为了制造尺寸更小的和能在较低电源下可靠地工作的晶体管,一个重要的设计标准是栅介质140。形成栅介质的主角是二氧化硅SiO2。热生长非晶形SiO2层是一种电和热力稳定材料,在SiO2层与底层Si的界面处形成了高质量的界面以及上佳的电绝缘性能。在典型的加工过程中,在Si上使用SiO2会造成缺陷电荷密度,其量级为1010/cm2,中间带隙界面态密度约为1010/cm2eV,和击穿电压在15MV/cm范围内。就这样的质量而言,没有明显看出需要使用除SiO2之外的材料,但是栅介质的定标的提高和其它要求会产生需要寻找用作栅介质的其它介质材料。
所需要的是这样一种替代介质材料,它可用来形成具有较之SiO2有高介电常数的栅介质和相对于硅是热力稳定的,以致在硅层上形成介质时不会导致有SiO2形成或使来自底层硅层的材料例如掺杂剂扩散到栅介质中。
发明概述
在本发明所讲授的实施方案中论述了解决以上所述问题的方法。在一种实施方案中,一种在晶体管主体区上形成栅介质的方法包括在晶体管主体区上进行含HfAlO3非晶形薄膜的原子层沉积(ALD)。形成HfAlO3薄膜所采用的ALD方法是将含铪前体脉冲输入装有衬底的反应室,将第一含氧前体脉冲输入反应室,将含铝前体脉冲输入反应室,最后将第二含氧前体脉冲输入反应室。每种前体根据所选定的时间周期被脉冲输入反应室。脉冲输送每种前体所用时间的长短根据所采用的前体选定。在每次脉冲输送前体之间将剩余的前体和反应的副产物从反应室中除去。HfAlO3薄膜的厚度通过重复进行脉冲输送含铪前体,第一含氧前体,含铝前体和第二含氧前体的循环次数来控制,直至形成要求的厚度。
有利之处是,由HfAlO3薄膜形成的栅介质具有比二氧化硅要大的介电常数,相对地小的漏电电流和对硅基衬底有良好的稳定性。本发明所讲授的各种实施方案包含形成各种晶体管,存储器,和具有含HfAlO3的介质层的电子系统。
其它实施方案包括适用于晶体管,存储器,和具有HfAlO3薄膜的介质栅的电子系统的各种结构。与具有同样实体厚度的氧化硅栅相比,这类介质栅具有显著地薄的等效氧化物厚度。另一方面,这类介质栅较之具有同样等效氧化物厚度的氧化硅栅具有显著地厚的实体厚度。
本发明的这些和其它的实施方案,见解,优点和特点部分地陈述于随后的叙述中,和对于本领域的那些技术人员来说,部分地通过参阅下述本发明的叙述和参阅附图或通过本发明的实践而变得显而易见了。本发明的这些见解,优点和特点借助于尤其是在后面所附的权利要求书中所指出的装置,程序和组合得以实现和达到。
附图简述
图1描绘了晶体管的常见构型。
图2A描绘了一种本发明所讲授的加工HfAlO3薄膜用的原子层沉积系统的实施方案。
图2B描绘了一种本发明所讲授的加工HfAlO3薄膜用的原子层沉积室的气体分配装置的实施方案。
图3说明了一种本发明所讲授的HfAlO3薄膜加工方法的实施方案所采用的单元工艺流程图。
图4描绘了一种本发明所讲授的可以用来制作一种晶体管构型的实施方案。
图5说明了引入本发明所讲授的器件的个人用计算机的实施方案的透视图。
图6说明了引入本发明所讲授的器件的中央处理机的实施方案的示意图。
图7说明了本发明所讲授的DRAM存储器的实施方案的示意图。
优选实施方案详述
在本发明的以下详述中,参照了形成详述一部分的附图,并为了说明,这些附图显示了可以实施本发明的具体的实施方案。对这些实施方案所作的详细陈述,足以使本领域的那些技术人员能够实施本明。可以应用其它的实施方案并可在不超越本发明的范围的情况下进行结构,逻辑和电学的更改。
在以下叙述中使用的术语晶片和衬底包括任何具有外露面的结构,以便利用外露面形成本发明的集成电路(IC)结构。术语衬底应理解成包括半导体晶片。术语衬底也用来指加工过程中的半导体结构,并可以包括在其上已制成的其它层。晶片和衬底二者均包括掺杂和非掺杂的半导体,由基底半导体或绝缘体支承的外延半导体层,以及本领域的技术人员熟知的其它半导体结构。术语导体应理解成包括半导体,而术语绝缘体或介质被定义为包括导电性比称为导体的材料要低些的任何材料。
本申请中所采用的术语“水平”被定义为平行于晶片或衬底的普通的平面或表面,与晶片或衬底的取向无关。术语“垂直”指的是其方向与上面所定义的水平相垂直。以位于晶片或衬底的上表面上的普通平面或表面为基准来定义表示位置的介词,例如“在上面”,“侧面”(如在侧壁上),“高于”,“低于”,“在上方”和“在下面”,与晶片或衬底的取向无关。所以,以下的详述并不作出限制的含义,而本发明的范围仅由附于后面的权利要求书,以及与权利要求书所给予的范围等同的全范围来定义。
图1的栅介质140,当其运行于晶体管中时,既有实体栅介质厚度又有等效氧化物厚度(teq)。等效氧化物厚度量化了以典型的实体厚度表示的栅介质140的电气性能例如电容。teq被定义为要求其具有和已知介质同样的电容密度的一种理论上的SiO2层的厚度,而不考虑漏电电流和可靠性这些方面的问题。
沉积在Si表面上作为栅介质的厚度t的SiO2层还将有一个大于它的厚度t的teq。这teq是由在其上沉积了SiO2的表面沟道内的电容引起的,这要归因于耗尽/反型区的形成,。这耗尽/反型区可导致使teq比SiO2层的厚度t大3~6埃
Figure C03817714D00101
于是,总有一天,在半导体工业驱使将栅介质等效氧化物厚度按比例缩小到低于
Figure C03817714D00102
的情况下,用作栅介质的SiO2层的实体厚度要求大概必须是大约
Figure C03817714D00103
对于SiO2的额外要求取决于和SiO2栅介质一起使用的栅电极。使用常规的多晶硅栅会导致SiO2层的teq额外增加。这额外增加的厚度可通过采用金属栅电极而消除,可是,金属栅目前尚未用于互补金属氧化物半导体场效应晶体管(CMOS)工艺中。因此,未来的器件将被设计为SiO2栅介质层的实体厚度约
Figure C03817714D00104
或更小。对SiO2氧化层如此小的厚度要求引起了额外的一些问题。
二氧化硅用作栅介质,部分地是由于它在SiO2-Si基结构中的电绝缘性能。这种电绝缘是由于SiO2的相对大的带隙(8.9eV)使它成为一种没有导电性的好的绝缘体。显著减小它的带隙会排除它作为一种栅介质的材料。当SiO2层的厚度降低时,在这厚度内的原子层层数或这种材料的单层层数将下降。在一定的厚度下,单层层数将少到足以使SiO2层不能象在较厚的或整体层中那样具有完整的原子排列。较之整体结构而言,不完全构造的结果是,只有一层或二层单层的薄SiO2层将不能形成全带隙。在SiO2栅介质中不是全带隙会在底层Si沟道和上层多晶硅栅之间造成有效短路。这不良特性对可以按比例缩小的SiO2层的实体厚度规定了限制值。由于这单层效应,这最小厚度被认为是约。所以,对于要求teq小于约
Figure C03817714D0010133038QIETU
的未来的器件来说,必需考虑除SiO2以外别的介质用作栅介质。
对于用作栅介质的典型介质层,其电容根据适用于平行板电容的公式确定:C=K∈oA/t,式中K是介电常数,∈o是自由空间的电容率,A是电容器的面积,和t是这介质的厚度。对于给定电容的将SiO2的介电常数,Kox=3.9,与teq结合的某种材料的厚度t与teq的关系如下
t=(K/Kox)teq=(K/3.9)teq
于是,介电常数大于SiO2的介电常数3.9的材料,其具有的实体厚度,当提供了所要求的等效氧化物厚度时,将显著地大于所要求的teq。例如,具有介电常数10的替代介质材料可以具有约
Figure C03817714D0010133028QIETU
的厚度,便可使teq
Figure C03817714D00111
不计及任何耗尽/反型层效应。于是,通过采用具有介电常数高于SiO2的介质材料就能实现降低晶体管的等效氧化物厚度。
为了获得较低的晶体管工作电压和较小的晶体管尺寸而所需的较薄的等效氧化物厚度可以利用很多种材料来实现,但额外的制作要求使确定替代SiO2的合适的置换材料变得困难了。微电子工业目前的观点仍然是赞成Si基器件。这就要求采用的栅介质要能生长在硅衬底或硅层上,而这就对取代的介质材料设置了大量的限制。介质在硅层上形成的过程中,除了所要求的介质之外,还存在有可能形成一小层SiO2的可能性。结果实际上是一种由二层彼此平行的子层组成的介质层和在其上形成该介质的硅层。在这种情况下,最终的电容是二个串联介质的电容。因此,介质层的teq是SiO2层的厚度与所形成的介质的厚度乘以系数之和,写成
teq=tSiO2+(Kox/K)t
因此,如果在此过程中形成了SiO2层,那么teq再次受SiO2层的限制。即使是在硅层和要求的介质之间有防止SiO2层形成的阻挡层,teq仍会受具有最小介电常数的那层的限制。可是,不管是采用了具有高介电常数的单层介质层还是采用了介电常数比SiO2高的阻挡层,与硅层面接的层必须具有高质量的界面,以保持高的沟道载流子迁移率。
G.D.Wilk等人的最新一篇论文发表在应用物理杂志第89卷10期第5243~5275页(2001)上,该论文讨论了用作栅介质的高介质材料的材料性能。公开的资料之一是Al2O3作为SiO2的置换材料的适用性。公开的Al2O3具有用作栅介质的良好的性能,例如大带隙,直至高温在Si上仍具有热力稳定性,和非晶形结构。此外,Wilk公开了在硅上形成Al2O3层不会导致出现SiO2界面层。可是,Al2O3的介电常数仅为9,其一些薄层可能具有的介电常数为约8~约10。虽然Al2O3介电常数比SiO2有改进,但仍希望栅介质采用更高的介电常数。Wilk所论及的其它介质及其性能如下
 
材料 介电常数(K)      带隙Eg(Ev)   晶体结构
SiO<sub>2</sub> 3.9 8.9 非晶
Si<sub>3</sub>N<sub>4</sub> 7 5.1 非晶
Al<sub>2</sub>O<sub>3</sub> 9 8.7 非晶
Y<sub>2</sub>O<sub>3</sub> 15 5.6 立方
La<sub>2</sub>O<sub>3</sub> 30 4.3 六方,立方
Ta<sub>2</sub>O<sub>5</sub> 26 4.5 斜方
TiO<sub>2</sub> 80 3.5 四方(金红石,锐钛矿)
HfO<sub>2</sub> 25 5.7 单斜,四方,立方
ZrO<sub>2</sub> 25 7.8 单斜,四方,立方
使用SiO2作为栅介质的优点之一是形成的SiO2层是一种非晶形的栅介质。栅介质具有非晶形结构是有利的,因为在多晶形栅介质中的晶界提供高的漏电路径。另外,在整个多晶形栅介质中晶粒尺寸和取向的变化可能引起该薄膜的介电常数发生变化。上述材料的性能包括结构都是针对呈整体状态的材料的。具有介电常数高于SiO2这一优点的材料也有呈结晶形态,至少呈整体构型这一缺点。用来替代SiO2作为栅介质的最佳选择材料是具有高介电常数的,能将它们制作成具有非晶形状态的薄层的那些材料。
在共同未决的,共同转让的美国专利申请:标题为“用作栅介质的原子层沉积LaALO3薄膜”代理卷号1303050 US1,序列号10/137499中公开了LaALO3作为SiO2的替换材料,在电子器件例如MOS晶体管中用来形成栅介质和其它介质薄膜。这份申请公开了,其中包括,应用原子层沉积法利用含镧源和含铝源在硅上形成LaALO3层。控制镧顺序沉积和铝顺序沉积便可形成这样一种栅介质,它是一种具有预定介电常数的组合物。
在本发明所讲授的某一种实施方案中,利用原子层沉积(ALD)法,也称为原子层外延(ALE)法,使HfAlO3层沉积在硅上。ALD作为化学气相沉积(CVD)的一种改进是在20世纪70年代初期开发出来的,所以也叫“交替脉冲型CVD”。在ALD中,将各种气体前体每次一种引导到放置在反应室(或反应釜)中的衬底表面上。这些气体前体的引进采用脉冲输送每种气体前体的方式。在各个脉冲之间用惰性气体吹洗反应室或抽空反应室。在第一个脉冲相中,在前体饱和地化学吸附在衬底表面的情况下,与衬底的化学反应发生了。随后利用惰性气体吹洗清除反应室中剩余前体。
第二脉冲相将另一种前体引至衬底上,在此发生所要求的薄膜的生长反应。在薄膜生长反应之后,从反应室中清除反应的副产物和剩余前体。在正确设计的流动式反应室内,凭借良好的前体化学性质,这些前体在衬底上积极地吸附和彼此发生反应,能在小于1秒钟的时间内进行一次ALD循环。典型地,前体的脉冲输送时间范围从约0.5秒到约2至3秒。
在ALD中,有利之处是所有反应和净化阶段处于饱和状态使生长受到自身限制。这种自身限制式生长导致大面积的均匀性和共形性,这对于诸如平板衬底,深沟槽这类情况,并在多孔硅,和高表面面积的二氧化硅以及氧化铝粉末的加工中有着重要的应用价值。有意义的是,ALD提供了通过控制生长循环次数来控制薄膜厚度的一种直截了当的简单的方法。
最初,开发ALD是为了制造场致发光显示器中所需的发光薄膜和介质薄膜。经多方努力已将ALD应用于掺杂硫化锌和碱土金属硫化物的薄膜的生长。另外,已研究出将ALD应用于各种各样的外延II-V和II-VI薄膜的生长,非外延的结晶或非晶形的氧化物和氮化物薄膜的生长,和这些薄膜的多层结构的形成。还有值得感兴趣的是关于硅和锗薄膜的ALD生长,但是由于困难的前体化学,故这一努力不十分成功。
这些前体可以是气体,液体或固体。但是,液体或固体前体必须是易发挥的。蒸气压力必须足够高以便能有效地输送质量。还有,固体前体和某些液体前体必需在反应室内加以加热并通过受热式管路将其引至衬底上。必需的蒸气压力务必在低于衬底温度的温度下达到,以避免前体凝结在衬底上。由于ALD的这种自身限制生长机理,对固体前体可以采用比较低的蒸气压力,虽然在此过程中由于它们的表面面积改变,蒸发速度可能有点变化。
对用于ALD的前体有一些其它要求。这类前体在衬底温度下必须是热稳定的,因为它们的分解会破坏表面控制,并从而破坏了基于前体在衬底表面上形成反应物的ALD方法的优点。当然,如果这种分解与ALD生长相比是缓慢的,则少量分解是可以允许的。
前体应该化学吸附在衬底表面上或与表面起化学反应,虽然前体和表面之间相互作用以及吸附的机理对于不同的前体是不同的。衬底表面上的分子必须积极地与第二前体发生反应以便形成要求的固体薄膜。另外,前体不应该与薄膜发生反应而引起浸蚀,和前体不应该溶于薄膜中。在ALD中使用高活性前体与常规CVD的前体选用形成了对比。
在本反应中的副产物必须是气体,以便很容易地将其从反应室中排出,再者,这副产物不应该发生反应或吸附在表面上。
在一种实施方案中,采用以下程序使HfAlO3薄膜形成在放置于反应室内的衬底上,即将含铪前体脉冲输入反应室,随后脉冲输入第一含氧前体,然后将含铝前体脉冲输入反应室,接着将第二含氧前体脉冲输入反应室。在每次脉冲输送之间,将吹洗气体引入反应室。将含铪前体脉冲输入反应室,随后脉冲输送第一含氧前体,同时在每次脉冲输送之后接着进行吹洗便构成一个铪顺序。类似地,将含铝前体脉冲输入反应室,随后将第二含氧前体脉冲输入反应室,同时在每次脉冲输送之后接着进行吹洗便构成一个铝顺序。选择第一含氧前体取决于被脉冲输入反应室的含铪前体,同样,第二含氧前体取决于被脉冲输入反应室的含铝前体。另外,可以将不同的吹洗气体应用于铪顺序和铝顺序。此外,按照预定的周期逐一地对将每一种前体脉冲输入反应室加以控制,此处每种前体的预定周期根据前体的性质而不同。
这样选择前体,以致在进行了一个铪顺序之后接着进行一个铝顺序便完成一次HfAlO3层的ALD沉积循环。HfAlO3层的厚度取决于所采用的前体,脉冲输送的周期和工艺温度。通过重复进行若干次铪顺序和铝顺序循环来形成具有预定厚度的HfAlO3薄膜。一旦形成了具有要求厚度的HfAlO3薄膜,就对HfAlO3薄膜进行退火。
在本发明的某一实施方案中,前体气体被用来在晶体管主体上形成用作栅介质的HfAlO3薄膜。另一方面,固体或液体前体可用于恰当设计的反应室中。其它材料的ALD形成公开于共同未决的,共同转让的美国专利申请中,标题“原子层沉积和转化”代理卷号303.802US1,序列号10/137,058,和“利用VLS1栅原子层沉积法形成的,用作栅介质层的AlOx原子层”。代理卷号1303.048US1,系列号10/137,168。
图2A描述了本发明所讲授的加工HfAlO3薄膜用的原子层沉积系统的实施方案。所描述的部件是讨论本发明所必需的那些部件,以致本领域的那些技术人员无需过分的实验工作经验就可实践本发明。有关ALD反应室的进一步讨论可从在此引入作参考的,共同未决的,共同转让的美国专利申请:标题“均匀化学气相沉积所采用的方法,系统和装置”,代理卷号303.717US1,系列号09/797324中找得。在图2A中,衬底210被放置在ALD系统200的反应室220内。在反应室220内还放置了加热元件230,它和衬底210发生热耦合,以控制衬底的温度。气体分配装置240将前体气体引至衬底210。每一种前体气体来源于各自的气体源251~254,其流量分别由质量流量控制器256~259控制。气体源251~254或者通过储存气体状前体的方法或是通过提供用于蒸发固体或液体材料以形成所选的前体气体所需的场所和装置的方法来提供前体气体。
在ALD系统中还包括吹洗气体源261,262,其中每个分别与质量流量控制器266,267相耦合。气体源251~254和吹洗气体源261-262通过它们的质量流量控制器与公共输气管或导管270相耦合,这输气导管又与反应室220内的气体分配装置240相耦合。这输气导管270还通过质量流量控制器286与真空泵或排气泵281相耦合,以便在吹洗程序结束时从输气导管中除去剩余的前体气体,吹洗气体,和副产品气体。
真空泵或排气泵282与质量流量控制器287相耦合,以便在吹洗程序结束时从反应室220中除去剩余的前体气体,吹洗气体,和副产物气体。为了方便起见,本领域的那些技术人员熟知的控制器显示器,固定装置,温度传感器件,衬底操纵装置和必需的电气引线,在图2A上均未示出。
图2B描述了本发明所讲授的加工HfAlO3薄膜用的原子层沉积室的气体分配装置的实施方案。气体分配装置240包括气体分配部件242和气体进气管244。气体进气管244使气体分配部件242与图2A的输气导管270相耦合。气体分配部件242包括气体分配孔或出口246和气体分配管道248。在这示范性实施方案中,这些孔246基本上都是具有同样的直径为15~20微米的圆;气体分配管道248具有同样的宽度20~45微米。具有气体分配孔246的气体分配部件的表面249基本上是平面且平行于图2A的衬底210。但是,其它一些实施方案采用了其它的表面形式以及孔和管道的形状和尺寸。孔的分布和尺寸还可能影响沉积厚度,因而可以用来参与厚度控制。孔246通过气体分配管道248与气体进气管244相耦合。虽然ALD系统200很适宜于实践本发明,但市场上有售的其它ALD系统也可使用。
沉积薄膜所采用的反应室的应用,结构和基本运作,对于半导体制作领域的那些普通技术人员来说都是了解的。本发明可以在各种这样的反应室内得以实施无需过分的实验工作经验。此外,本领域的每个普通技术人员一阅读本公开内容就将会理解半导体制作领域中所必需的探测,测量和控制技术。
图3说明了本发明所讲授的HfAlO3薄膜加工方法的实施方案所采用的单元的流程图。本方法可利用图2A,B所示的原子层沉积系统得以实现。在程序块305内,制备衬底。用来形成晶体管的衬底典型地是硅或含硅材料。在其它实施方案中,可以采用锗,砷化镓,和蓝宝石上硅衬底。这制备方法包括在形成栅介质之前清洗衬底210和形成衬底的各层和各个区,例如金属氧化物半导体(MOS)晶体管的漏区和源区。正被加工的晶体管其各个区的形成顺序遵循着在MOS晶体管的制作中通常采用的,本领域的那些技术人员众所周知的典型顺序。在形成栅介质之前所包括的加工是在栅介质形成过程中要加以防护的衬底区的掩蔽,这正是MOS制作过程中典型地要进行的工作。在本实施方案中,非掩蔽的区包括晶体管的主体区,可是,本领域的每个技术人员将认识到其它的半导体器件结构可以利用本方法。另外,将处于准备加工状态的衬底210传送到进行ALD作业所采用的反应室220中的某一位置上。
在程序块310内,将含铪前体脉冲输入反应室220。尤其是,HfCl4用作源材料。HfCl4通过位于衬底210上方的气体分配装置240被脉冲输入反应室220。来自气体源251的HfCl4流量由质量流量控制器256加以控制。HfCl4源的气体温度范围为约130℃~约154℃。HfCl4与位于由衬底210的非遮掩面所定义的要求区中的衬底210的表面发生反应。
在程序块315内,将第一吹洗气体脉冲输入反应室220。尤其是,纯度大于99.99%的纯净氮气用作HfCl4的吹洗气体。来自吹洗气体源261的氮进入输气导管270的流量由质量流量控制器266控制。利用纯净氮气吹洗避免了前体脉冲和可能的气相反应发生重叠。吹洗之后,在程序块320内,将第一含氧前体脉冲输入反应室220。对于使用HfCl4作为前体的铪顺序,选择水蒸气作为前体起着氧化反应物的作用,以便在衬底210上形成氧化铪。来自气体源252的水蒸气,利用质量流量控制器257,以约0.5~约1.0mPam3/秒的流速,通过输气导管270,将其脉冲输入反应室220。水蒸气在衬底210的表面上发生积极的反应。
在脉冲输送氧化反应物水蒸气之后,在程序块325内,将第一吹洗气体喷射入反应室220。在HfCl4/水蒸气顺序中,在脉冲输送每种前体气体之后,利用纯氮气体吹洗反应室。利用吹洗气体,清除掉系统中的剩余前体气体和反应副产物,同时利用真空泵282,通过质量流量控制器287抽空反映器220,和利用真空泵281,通过质量流量控制器286抽空输气导管270。
在实施HfCl4/水蒸气顺序过程中,通过加热元件230和使用呈低压(250Pa)热壁构形的反应室一起使衬底温度保持为约350℃~约550℃。在其它的一些实施方案中,使衬底温度保持为约500℃~1000℃。HfCl4的脉冲输送时间在约1.0秒~2.0秒范围内。在脉冲输送HfCl4之后,继续进行包括吹洗脉冲,接着水蒸气脉冲,再接着吹洗脉冲在内的铪顺序。在一种实施方案中,进行吹洗脉冲,接着水蒸气脉冲,再接着吹洗脉冲共费时约2秒。在另一实施方案中,在脉冲输送HfCl4之后,在铪顺序中,每个脉冲有2秒的脉冲周期。
在程序块330内,将含铝前体脉冲输入反应室220。在一种实施方案中,在HfCl4/臭氧顺序之后,三甲基铝(TMA),Al(CH3)3,用作含铝前体。来自气流源253的TMA,利用质量流量控制器258,通过气流分配装置240,将其脉冲输送到衬底210的表面。TMA被引至在HfCl4/水蒸气顺序进行过程中形成的二氧化铪薄膜上。
在程序块335内,第二吹洗气体被引入系统。对于TMA前体,纯净氩气用作吹洗气体和载气。来自吹洗气源262的氩气进入输气导管270,随后进入反应室220,其流量由质量流量控制器267控制。在经氩气吹洗之后,在程序块340内,将第二含氧前体脉冲输入反应室220。对于使用TMA作为前体的铝顺序,选用蒸馏水蒸气作为前体起氧化反应物作用,以便和位于衬底210上的TMA相互作用。来自气体源254的蒸馏水蒸气,利用质量控制器259,通过输气导管270将其脉冲输入反应室220。蒸馏水蒸气在衬底210的表面上发生积极的反应,从而形成HfAlO3薄膜。
在脉冲输送起氧化反应物作用的蒸馏水蒸气之后,在程序块345内,将第二吹洗气体喷射入反应室220。在TMA/蒸馏水蒸气顺序中,在脉冲输送每种前体气体之后,用氩气吹洗反应室。在另一实施方案中,纯净氮气重新用作吹洗气体。利用吹洗气体,清除掉系统中的剩余前体气体和反应副产物,同时利用真空泵282,通过质量流量控制器287,抽空反应室220,和利用真空泵281,通过质量流量控制器286,抽空输气导管270。这不仅完成了TMA/蒸馏水蒸气顺序,而且它还完成了铪顺序/铝顺序循环,从而形成了具有与一种ALD循环有关的设定厚度的HfAlO3层。
在进行TMA/蒸馏水蒸气顺序的过程中,通过加热元件230,使衬底温度保持为约350℃~约450℃。使反应室的温度保持为约150℃,以便使反应物凝结的可能性降至最低。使工艺压力在脉冲输送前体气体时保持为约230m托,而用于脉冲输送吹洗气体时保持为约200m托。用于TMA和蒸馏水蒸气二种前体的脉冲时间均约为1秒,而用于吹洗的脉冲时间约为15秒。在一种实施方案中,在完整的HfCl4/水蒸气/TMA/蒸馏水蒸气循环过程中,使衬底温度保持为约350℃。在另一实施方案中,在完整的HfCl4/水蒸气/TMA/蒸馏水蒸气循环过程中,使衬底温度保持为约550℃。
作为一种替代的铝顺序,可使用DMEAA/氧顺序而不是TMA/蒸馏水蒸气顺序。含铝前体DMEAA是一种铝烷(AlH3)和二甲基乙烷[N(CH3)2(C2H5)]的加合物。在程序块330内,来自气体源253的DMEAA气体被脉冲输送到衬底210表面上。通过将温度控制在25℃的气泡型蒸发作用向气体源253提供DMEAA气体。在程序块335内,与DMEAA有关的吹洗气体和载气是来自吹洗气体源262的氢。在程序块340内,为了在衬底210上产生必要的反应,来自气体源254的作为第二含氧前体的氧被脉冲输入反应室220。在程序块345内,来自吹洗气体源262的吹洗气体氢再次流过反应室220。
在进行DMEAA/氧顺序的过程中,利用加热元件230使衬底温度保持为约100℃~约125℃。在进行DMEAA/氧顺序的过程中,使工艺压力保持为约30m托。
在利用DMEAA替代铝顺序时,可在与TMA/蒸馏水顺序相同的温度和压力范围下使用DMEAA/蒸馏水蒸气顺序。在本发明的某一实施方案中,在完整的HfCl4/水蒸气/DMEAA/蒸馏水蒸气循环过程中使衬底温度保持为约350℃。另一方面,可在使衬底温度保持为约550℃的条件下,进行完整的HfCl4/水蒸气/DMEAA/蒸馏水蒸气循环。
在一个循环之后的HfAlO3薄膜的厚度,在已知温度下,由在铪顺序和铝顺序中所采用的脉冲周期确定。ALD方法的脉冲周期取决于所使用的反应系统200和前体以及吹洗气体源的特性。典型地,在给定温度下,脉冲周期可以在一个要比前体的最小脉冲时间长一些的较大范围内变化,但基本上没有改变生长速率。一旦一个循环的周期组被确定,那么HfAlO3薄膜的生长速率将被定为某一值例如Nnm/循环。例如在形成MOS晶体管的栅介质的应用中,为了使HfAlO3薄膜达到要求的厚度t,ALD方法应重复t/N次循环。
在程序块350内,要确定HfAlO3薄膜是否达到了要求的厚度t。正如所述,要求的厚度应在t/N次循环后完成。如果完成的循环次数小于t/N,本方法从脉冲输送含铪前体的程序块310处重新开始,在上面所讨论的实施方案中,这含铪前体就是HfCl4气体。如果t/N次循环已完成,不再进一步要求继续ALD作业,而在程序块355内,对HfAlO3薄膜进行退火。退火是生产HfAlO3薄膜的最后的加热循环,是在温度约300℃~800℃下进行的,以便生产出具有最佳性能的介质绝缘体。退火可以在惰性气氛或氮气气氛中进行。
在程序块360内,在形成HfAlO3薄膜之后,含HfAlO3薄膜的器件的加工便完成了。在一种实施方案中,完成这个器件包含完成晶体管的构成。另一方面,完成这方法包括完成存储器的构建,这存储器具有由HfAlO3薄膜栅介质形成的存取晶体管组成的阵列。再者,在另一实施方案中,完成这方法包括含有信息处理器的电子系统的形成,这信息处理器采用的电子器件使用了由HfAlO3薄膜栅介质形成的晶体管。典型地,信息处理器例如计算机包括许多存储器,这些存储器内装有许多存取晶体管。
在一种实施方案中,用作栅介质的HfAlO3薄膜是通过采用铪/水蒸气/铝/水蒸气循环的ALD方法使其形成在晶体管的本体区内。这循环是铪/水蒸气顺序和铝/水蒸气顺序的组合。在铪/水蒸气顺序结束时终止这循环将典型地导致形成HfO2薄膜。只进行铝/水顺序则典型地会导致形成Al2O3薄膜。
最近W.Zhu等人在第一届国际电子器件会议上发表的,刊登在会议论文集P.463~466(2001)上的论文,报导了利用喷射蒸汽沉积法形成的HfO2和HfAlO薄膜的生长。大约3nm厚的HfO2薄膜在400℃~500℃下似乎发生了晶化,而含有约6.8% Al的HfAlO薄膜在比HfO2薄膜约高200℃的温度下发生晶化,含有约31.7% Al的HfAlO薄膜则在比HfO2薄膜约高400℃的温度下发生晶化。因此,该论文指出HfAlO薄膜在较高温度下对其进行加工时往往导致形成结晶形结构。可是非晶形结构有利于用作栅介质。
最近J.Aarik等人在应用表面科学第173卷P.15~21(2001)上发表了一篇论文,报导了通过使用HfCl4/水蒸气顺序的ALD形成HfO2薄膜的生长。在衬底温度保持在从500℃到1000℃范围内的不同温度的情况下,HfCl4源的温度范围为130℃~154℃。对于衬底温度为940℃和水蒸气流速0.7mPa/m3的情况,已证实最终的薄膜结构取决于HfCl4源的温度。在HfCl4源温度为128℃时,薄膜是单斜晶系的,此时生长速率为0.034nm/循环,而在HfCl4源温度为152℃时,薄膜是立方晶系的,此时生长速率为0.067nm/循环。该报导断定,通过采用HfCl4和水蒸气的ALD生长成的HfO2薄膜的表面结构,当改变生长温度和前体剂量时,可以发生变化。
最近Y.Kim等人在应用物理通讯71(25)卷P.3604~3606(1997)页上发表的论文,报导了通过使用TMA/蒸馏水蒸气顺序的ALD形成Al2O3薄膜的生长。在衬底温度保持为370℃和TMA和蒸馏水蒸气的脉冲输送时间设定为各1秒的情况下,Al2O3薄膜的生长速率确定为每个循环约0.19nm。确定的这个生长速率对于TiN,Si,和SiO2衬底都是相同的。在最近由C.Jeong等人发表的刊登在日本应用物理杂志第40卷1部1章P.285~289页(2001)上的论文中,报导了利用ALD在100℃下Al2O3的生长速率为
Figure C03817714D00201
循环,此处一个循环为利用DMEAA作为前体进行五次Al沉积随后是O2等离子体氧化。典型地,由ALD形成的Al2O3薄膜是非晶形的。
含HfAlO3,Al2O3和HfO2的介质薄膜具有的介电常数在从Al2O3的介电常数9到HfO2的介电常数25的范围内。通过控制铪顺序的循环次数和铝顺序的循环次数,就能控制沉积在衬底表面区上的铪和铝的数量。因此,通过采用铪顺序和铝顺序的ALD所形成的介质薄膜可利用由含有选定或预定百分率的HfAlO3,Al2O3和HfO2组成的组合物制成,在这种情况下,这薄膜的有效介电常数将被选定或预定在9~25的范围内。此外,在铪顺序之后采用铝顺序,最后所得的含HfAlO3的介质应是非晶形的。
除了分别控制在ALD方法中的铪顺序和铝顺序的循环次数外,也可通过控制以下因素将含有HfAlO3的介质薄膜制造成具有选定的特性,即控制每个顺序所用的前体材料,每个顺序所采用的工艺温度和压力,各个前体的脉冲输送时间,以及在本方法的末尾,在每个循环的末尾和在每个顺序的末尾的热处理。热处理可包括在原位在各种环境包括在氩和氮环境中进行退火。
前体的脉冲输送时间范围为约0.5秒~约2至3秒,尽管可以使用较长的脉冲。典型地,吹洗气体的脉冲输送时间范围从等于与其相关的前体脉冲输送时间到数量级大于该相关前体脉冲输送时间,以便将所有剩余材料和副产物从反应系统中吹洗掉。通常吹洗气体的脉冲输送时间范围为约1秒~约30秒。在一种实施方案中,吹洗气体的脉冲输送时间范围为1~2秒。
所制成的含HfAlO3的薄膜的生长速率将受各个顺序的生长速率控制,而典型地可以是约
Figure C03817714D0021134126QIETU
/循环~约
Figure C03817714D0021134135QIETU
/循环。其它的生长速率也可获得。
在本发明的各种实施方案中可能得到的等效氧化物厚度teq的范围与形成具有介电常数为约9~约25的组合物的能力有关,和与达到实体薄膜厚度约2~约3nm和以上的能力有关。符合本发明的teq的范围示于下表:
Figure C03817714D00221
含HfAlO3的层其定标的下限将取决于形成全带隙所必须的薄膜的各单层,以使底层硅层和对着HfAlO3薄膜的上层导电层之间保持良好绝缘性。这一要求是避免底层硅层和上层导电层之间可能发生短路所必需的。根据上面所述,可明显看到可以实现使含HfAlO3的薄膜具有的teq
Figure C03817714D0022134030QIETU
。再说,基本上没有界面层的薄膜可以达到的teq显著地小于2或
Figure C03817714D0022134023QIETU
甚至小于
Figure C03817714D0022134025QIETU
上面所述的利用铪顺序/铝顺序沉积循环进行原子层沉积的新颖方法具有很多优点。再者,通过独立地控制每个顺序的各个参数便可形成具有选定介电常数的栅介质。另外,提供的这新颖的方法可以用来形成各种晶体管,存储器和信息处理器。
图1中所描绘的晶体管100可以由形成在硅基衬底110中的源/漏区120和另一个源/漏区130构成,而这二个源/漏区120,130被主体区132隔开。源/漏120和源/漏130隔开的主体区132定义了具有沟道长度134的沟道。HfAlO3薄膜利用ALD方法形成,这ALD方法包括将含铪前体脉冲输入装有衬底110的反应室,将第一含氧前体脉冲输入反应室,将含铝前体脉冲输入反应室,以及将第二含氧前体脉冲输入反应室。每一种前体根据选定的时间周期被脉冲输入反应室。脉冲输送每一种前体所需时间的长短根据所采用的前体选定。在每次脉冲输送前体之间,将剩余前体和反应的副产物从反应室中去除。HfAlO3薄膜的厚度由重复进行脉冲输送含铪前体,第一含氧前体,含铝前体,和第二含氧前体的循环次数来控制,直到在主体区上形成要求厚度的含HfAlO3的薄膜140。栅形成在栅介质140的上方。典型地,形成栅包括形成多晶硅层,虽然在另外别的方法中可以形成金属栅。可采用本领域的那些技术人员周知的标准方法来形成衬底,源/漏区和栅。另外,用来形成晶体管的工艺各个单元的操作程序是按照对本领域的那些技术人员来说也是周知的标准制作程序进行的。
利用ALD形成用作栅介质的HfAlO3薄膜的方法的实施方案可以应用于其它的含有介质层的晶体管结构。例如,图4描绘了一种本发明所讲授的可以用来制作一种晶体管400构型的实施方案。晶体管400包括硅基衬底410和被主体区432隔开的二个源/漏区420,430。位于二个源/漏区420,430之间的主体区432定义了具有沟道长度434的沟道区。位于主体区432上方的是叠层455,它包括栅介质440,浮栅452,浮栅介质442,和控制栅450。栅介质440按照上述本发明所讲授的ALD方法形成,而晶体管400的其余单元采用本领域的那些技术人员熟知的方法形成。另一方面,栅介质440和浮栅介质442二者均可按照上述本发明所讲授的ALD方法形成。
采用上述方法产生的晶体管可以用于存储器和包含信息处理器的电子系统中。内含HfAlO3薄膜介质层的信息处理器可以采用上述方法的各种实施方案构成。这类信息处理器包括各种无线系统,电信系统和计算机。一种内含HfAlO3薄膜介质层的计算机实施方案示于图5~7并叙述如下。虽然下面所示的是特定形式的存储器和计算器件,但本领域的每一位技术人员都承认各个不同形式的存储器和和包含信息处理器的电子系统均可利用本发明。
个人用计算机如图5和图6所示,它包括监视器500,键盘输入502和中央处理机504。处理机部件504典型地包括微处理机606,存储器总线电路608,它含有许多存储器沟槽612(a~n),和其它外围电路610。外围电路610允许各种外围器件624通过输入/输出(I/O)总线622与处理机/存储器总线620连接。图5和图6所示的个人用计算机还包括至少有一个这样的晶体管,它具有按照本发明所讲授的一种实施方案形成的含HfAlO3薄膜的栅介质。
微处理机606产生控制和地址信号,以便控制存储器总线电路608和微处理和606之间以及存储器总线电路608和外围电路610之间的数据交换。这种数据交换是通过高速存储器总线620和通过高速I/O总线622完成的。
许多存储器沟槽612(a~n)与存储器总线620耦合,这些沟槽能容纳对本领域的那些技术人员来说是众所周知的各种存储器。例如单列直插式存储模块(SIMM)和双列直插式存储模块(DIMM)可用于本发明的装置中。
这些存储器可根据各种不同的设计生产,这些设计提供不同的读出和写入存储器沟槽612的动态存储单元的方法。一种这样的方法是页面模式运行。DRAM中的页面模式运行由如下方法定义,存取一行存储单元阵列和随机地存取这阵列的不同的列。当存取该列的时候,储存在上述行和该列相交处的数据便可读出并输出。页面模式DRAM要求有一些限制存储器电路608通信速度的存取步骤。
一种替代型器件是扩充数据输出(EDO)型存储器,它使储存在存储器阵列地址处的数据,在寻址列已关闭之后仍可以有效输出。这种存储器由于允许较短的存取信号而增加了一些通信速度,但没有降低存储器输出数据在存储器总线620上有效的时间。其它别的类型的器件包括SDRAM,DDR SDRAM,SLDRAM和直接式RDRAM,以及其它的例如SRAM或快速存储器。
图7阐明了本发明所讲授的DRAM存储器700的实施方案的示意图。DRAM器件700是与存储器沟槽612(a~n)相容的。对DRAM 700的叙述已作了简化,为的是阐明DRAM存储器而不是用来全面叙述DRAM的所有特性。本领域的那些技术人员都承认各种各样的存储器均可用于本发明的装置中。图6所示的DRAM存储器实例包括至少有一个这样的晶体管,它具有按本发明所讲授的实施方案形成的HfAlO3薄膜的栅介质。
通过存储器总线620提供的控制,地址和数据信息由DRAM 700的各路输入进一步表示,正如图7所示。这些各路的表示由数据线702,地址线704和指向控制逻辑部件706的各条分立的线来阐明。
正如本领域内众所周知的,DRAM 700包括存储器阵列710,它本身包含行和列的可寻址存储器单元。同一行上的每个存储器单元与一条公用字线相耦合。这字线与各个晶体管的栅相耦合,此处至少有一个晶体管具与采用前面所述方法和结构形成的含HfAlO3的栅介质相耦合的栅。另外,在同一列上的每个存储器单元与一条公用位线相耦合。在存储器阵列710中的每个单元包括本领域内常见的存储电容器和存取晶体管。
例如,DRAM 700通过地址线704和数据线702与微处理机606相连接。另一方面,DRAM 700可以与DRAM控制器,微控制器,芯片装置或其它电子系统相连接。微处理机606还向DRAM 700提供许多控制信号,包括但不限于行和列地址选通信号RAS和CAS,写入启动信号WE,输出启动信号OE和其它常规控制信号。
行地址缓冲器712和行译码器714接收和译解来自行地址信号的行地址,这行地址信号由微处理机606经地址线704提供。每个唯一的行地址与存储器阵列710中的一行单元相对应。行译码器714包括字线驱动器,地址译码器树,和电路系统,这电路系统译出收到的来自行地址缓冲器712的给定行地址以及通过字线驱动器有选择地激活存储器阵列710的适合的字线。
列地址缓冲器716和列译码器718接收和译解通过地址线704提供的列地址信号。列译码器718还确定列何时发生了故障和确定置换列的地址。列译码器718与读出放大器720相耦合。读出放大器720与存储器阵列710的互补位线对相耦合。
读出放大器720与数据输入缓冲器722和数据输出缓冲器724相耦合。数据输入缓冲器722和数据输出缓冲器724均与数据线702相耦合。在写入运作过程中,数据线702向数据输入缓冲器722提供数据。读出放大器720接收来自数据输入缓冲器722的数据并将数据储存在存储器阵列710中,以电荷形式储存在地址线704上规定地址处的单元的电容中。
在读出运作过程中,DRAM 700将数据从存储器阵列710传输到微处理机606。在预充电运行期间使存取单元的互补位线平衡于基准电压,该基准电压由平衡电路和基准电压源提供。于是,储存在存取单元中的电荷与相关的位线分享。诸读出放大器720的一个读出放大器检测和放大互补位线之间的电压差。读出放大器将放大的电压传到数据输出缓冲器724。
控制逻辑部件706用来控制DRAM 700的许多有用的功能。此外,正如本领域的那些技术人员所熟知的那些用来启动DRAM 700并使DRAM 700运作保持同步的各种控制电路和信号在本文中没有详述。如上所述,已对DRAM 700的叙述作了简化,以便阐明本发明和没有打算完整叙述DRAM的所有性能。本领域的那些技术人员应认识,许多种存储器,包括但不限于,SDRAM,SLDRAM,RDRAM,和其它的DRAM和SRAM,VRAM和EEPROM,均可用于本发明的装置中。文中所描述的DRAM装置仅是为了说明,并没有排他或限制的意图。
结论
一种含HfAlO3的栅介质和一种制作这一类栅介质的方法生产出了一种可靠的栅介质,它具有的等效氧化物厚度比采用SiO2可能得到的要薄。
使用本文中所述方法形成的HfAlO3栅介质是热力稳定的,以致形成的栅介质在加工过程中与硅衬底或其它结构有极微弱的反应。
晶体管,高水平IC或器件,和系统是应用了形成超薄等效氧化物厚度teq的栅介质的新颖方法构成的。形成的含HfAlO3的栅介质层或薄膜具有高的介电常数(κ),此处的栅介质的teq能够小于
Figure C03817714D0026133840QIETU
,小于SiO2栅介质的预定限制值。同时,HfAlO3层的实体厚度比与SiO2的teq限制值相关的SiO2厚度厚得多。形成较厚厚度有利于加工栅介质。此外,通过控制衬底的ALD加工过程中的铪顺序和铝顺序能使形成的含HfAlO3,Al2O3和HfO2的介质的介电常数可在Al2O3的介电常数到HfO2的介电常数范围内选择。
虽然在本文中已对一些具体的实施方案作了阐明和陈述,但本领域的那些普通技术人员都知道,任何以达到同样目的为目标的方案均可以代替所示的这些具体实施方案。本申请意图是包含本发明的任何修改或变更。可以认为上面的叙述是为了用来阐明的而不是限制的。在审阅上面陈述时,上述实施方案和其它的实施方案的组合对本领域的那些技术人员来说是显而易见的。本发明的范围包括其中采用了上述结构和制作方法的任何其它申请。本发明的范围应由附于后面的权利要求书,以及与该权利要求书所给予的范围等同的全范围来确定。

Claims (42)

1.一种形成电子器件的方法,它包含:
通过原子层沉积形成氧化铪铝层,其包括:
将含铪前体脉冲输入装有衬底的反应室,在含铪前体脉冲输送期间反应室基本上没有其它反应前体,含铪前体具有不含铝的组成;和
将含铝前体脉冲输入反应室,在含铝前体脉冲输送期间反应室基本上没有其它反应前体,含铝前体具有不含铪的组成,其中,脉冲输送含铪前体和脉冲输送含铝前体是在原子层沉积循环中进行的,从而形成氧化铪铝,并且在脉冲输送含铪前体后和在脉冲输送含铝前体后,反应室进行选自如下的过程:抽空反应室、用吹洗气体吹洗反应室以及抽空和吹洗反应室的结合。
2.权利要求1的方法,其中所述方法包括控制若干次的脉冲输送含铪前体的循环和若干次的脉冲输送含铝前体的循环以在氧化铪铝层中包括预定量的氧化铪。
3.权利要求1或2的方法,其中形成电子器件包括形成晶体管,这方法包括:
在衬底上形成第一和第二源/漏区,第一源/漏区和第二源/漏区被主体区分开;
将含铪前体脉冲输入反应室和将含铝前体脉冲输入以便在位于在第一和第二源/漏区之间的主体上形成介质薄膜;和
使栅与介质薄膜耦合。
4.权利要求1或2的方法,其中形成电子器件包括形成存储器,它至少有一个这样的存取晶体管,它在位于第一和第二源/漏区之间的主体区上有含HfAlO3的薄膜,其中形成这薄膜包括脉冲输送含铪前体和脉冲输送含铝前体。
5.权利要求4的方法,其中该方法包括
形成若干个存取晶体管;
形成若干条字线,它们与存取晶体管数目相同的若干个栅相耦合;
形成若干条源线,它们与存取晶体管数目相同的若干个第一源/漏区相耦合;
形成若干条位线,它们与存取晶体管数目相同的若干个第二源/漏区相耦合。
6.权利要求1或2的方法,其中形成电子器件包括形成电子系统,其包括:
提供处理机;
使存储器与处理机相耦合,其中存储器或处理机之一至少有一个这样的晶体管,它在位于第一和第二源/漏区之间的主体区上有含HfAlO3的薄膜,这种含HfAlO3的薄膜通过将含铪前体脉冲输入反应室和将含铝前体脉冲输入反应室的方法形成;和
形成系统总线,该总线使处理器与存储器阵列相耦合。
7.权利要求1,2,3,4或6的方法,其中将含铪前体脉冲输入反应室,随后将第一含氧前体脉冲输入反应室,然后将含铝前体脉冲输入反应室,接着将第二含氧前体脉冲输入反应室。
8.权利要求7的方法,其中脉冲输送第一含氧前体包括脉冲输送水蒸气。
9.权利要求7的方法,其中脉冲输送第二含氧前体包括脉冲输送蒸镏水蒸气。
10.权利要求7的方法,其中脉冲输送第二含氧前体包括脉冲输送氧。
11.权利要求1,2,3,4或6的方法,其中按照预定的周期对将每种前体脉冲输入反应室加以控制,预定的周期则根据被脉冲输入反应室的每种前体分别地加以确定。
12.权利要求1,2,3,4或6的方法,其中该方法包括,使衬底保持在根据每次脉冲输送的前体选定的温度下,这选定的温度根据脉冲输送的每种前体独立地设定。
13.权利要求1,2,3,4或6的方法,其中在每次脉冲输送前体之后,接着用吹洗气体吹洗反应室。
14.权利要求1,2,3,4或6的方法,其中该方法包括重复进行若干次脉冲输送含铪前体和含铝前体的循环。
15.权利要求14的方法,其中在重复进行若干次脉冲输送含铪前体和含铝前体循环之后,接着在300℃~800℃的温度下进行退火处理。
16.权利要求1,2,3,4或6的方法,其中该方法包括独立地控制每种前体的脉冲周期的时间,被脉冲输送到衬底上的前体的次数,和衬底的温度,以便形成含HfAlO3的介质薄膜,这介质薄膜具有的介电常数为9~25。
17.权利要求16的方法,其中形成含HfAlO3的介质薄膜包括形成一种基本上是HfAlO3的薄膜。
18.权利要求1,2,3,4或6的方法,其中脉冲输送含铪前体包括脉冲输送HfCl4前体。
19.权利要求18的方法,其中将HfCl4前体脉冲输入反应室是在使衬底的温度保持在350℃~550℃的条件下进行的。
20.权利要求18的方法,其中将HfCl4前体脉冲输入反应室是在HfCl4前体的温度为130℃~154℃的条件下进行的。
21.权利要求18的方法,其中该方法包括在脉冲输送HfCl4前体之后,以0.5mPam3/秒~1.0mPam3/秒的流速将第一含氧前体脉冲输入反应室。
22.权利要求1,2,3,4或6的方法,其中脉冲输送含铝前体包括将三甲基铝前体脉冲输入反应室。
23.权利要求22的方法,其中将三甲基铝前体脉冲输入反应室是在使衬底温度保持在350℃~370℃的条件下进行的。
24.权利要求22的方法,其中将三甲基铝前体脉冲输入反应室是在压力为230m托的条件下进行的。
25.权利要求1,2,3,4或6的方法,其中脉冲输送含铝前体包括将DMEAA前体脉冲输入反应室。
26.权利要求25的方法,其中将DMEAA前体脉冲输入反应室是在使衬底温度保持在350℃~550℃的条件下进行的。
27.权利要求25的方法,其中将DMEAA前体脉冲输入反应室是在压力为30m托的条件下进行的。
28.权利要求1,2,3,4或6的方法,其中脉冲输送含铪前体包括脉冲输送HfCl4前体入反应室而脉冲输送含铝前体包括将三甲基铝前体脉冲输入反应室。
29.权利要求28的方法,其中在将三甲基铝前体脉冲输入反应室之后,接着用氩气吹洗反应室。
30.权利要求1,2,3,4或6的方法,其中脉冲输送含铪前体包括脉冲输送HfCl4前体入反应室和脉冲输送含铝前体包括将DMEAA前体脉冲输入反应室。
31.权利要求28的方法,其中在将HfCl4前体脉冲输入反应室之后,接着用纯净氮气吹洗反应室。
32.权利要求30的方法,其中在将DMEAA前体脉冲输入反应室之后,接着用氢气吹洗反应室。
33.一种用权利要求1,2,3,4,6,18,22,25,28或30的方法形成的电子器件。
34.一种电子器件,它包含:
至少一个晶体管,它具有位于第一和第二源/漏区之间的主体区,在主体区上的介质薄膜,和与该介质薄膜相耦合的栅;
其特征在于
介质薄膜含有原子层沉积型HfAlO3
35.权利要求34的电子器件,其中这电子器件是存储器。
36.权利要求35的电子器件,其中这存储器包括:
若干个存取晶体管;
若干条字线,它们与存取晶体管数目相同的若干个栅相耦合;
若干条源线,它们与存取晶体管数目相同的若干个第一源/漏区相耦合;
若干条位线,它们与存取晶体管数目相同的若干个第二源/漏区相耦合。
37.权利要求34的电子器件,其中这电子器件是具有与存储器相耦合的处理机的电子系统。
38.权利要求34,35或37的电子器件,其中介质薄膜包括Al2O3和HfO2
39.权利要求34,35或37的电子器件,其中介质薄膜基本上是非晶形的。
40.权利要求34,35或37的电子器件,其中介质薄膜具有的介电常数为9~25。
41.权利要求34,35或37的电子器件,其中介质薄膜具有的等效氧化物厚度(teq)为3埃~12埃。
42.权利要求34,35或37的电子器件,其中介质薄膜具有的等效氧化物厚度(teq)小于3埃。
CNB038177145A 2002-06-05 2003-06-05 氧化铪铝介质薄膜 Expired - Lifetime CN100511594C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/163,481 US7135421B2 (en) 2002-06-05 2002-06-05 Atomic layer-deposited hafnium aluminum oxide
US10/163,481 2002-06-05

Publications (2)

Publication Number Publication Date
CN1672244A CN1672244A (zh) 2005-09-21
CN100511594C true CN100511594C (zh) 2009-07-08

Family

ID=29709976

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038177145A Expired - Lifetime CN100511594C (zh) 2002-06-05 2003-06-05 氧化铪铝介质薄膜

Country Status (7)

Country Link
US (2) US7135421B2 (zh)
EP (1) EP1518263A1 (zh)
JP (1) JP2005529492A (zh)
KR (1) KR100623137B1 (zh)
CN (1) CN100511594C (zh)
AU (1) AU2003243407A1 (zh)
WO (1) WO2003105205A1 (zh)

Families Citing this family (466)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
KR100513719B1 (ko) 2002-08-12 2005-09-07 삼성전자주식회사 하프늄 산화막 형성용 전구체 및 상기 전구체를 이용한하프늄 산화막의 형성방법
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
JP2004241612A (ja) * 2003-02-06 2004-08-26 Fujitsu Ltd 半導体装置及びその製造方法
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US6970053B2 (en) 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) * 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
JP4212435B2 (ja) * 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
KR100584996B1 (ko) * 2003-11-22 2006-05-29 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막을 갖는캐패시터 및 그 제조 방법
US7157769B2 (en) * 2003-12-18 2007-01-02 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
JP4722501B2 (ja) * 2004-01-29 2011-07-13 三星電子株式会社 半導体素子の多層誘電体構造物、半導体及びその製造方法
US7067438B2 (en) * 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
WO2005088727A1 (en) * 2004-03-11 2005-09-22 National University Of Singapore Memory gate stack structure
US20050227003A1 (en) * 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP2006005006A (ja) * 2004-06-15 2006-01-05 Toshiba Corp 不揮発性半導体メモリ装置
KR100741983B1 (ko) * 2004-07-05 2007-07-23 삼성전자주식회사 고유전율의 게이트 절연막을 갖는 반도체 장치 및 그 제조방법
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) * 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
KR100609066B1 (ko) * 2004-10-15 2006-08-09 삼성전자주식회사 미세 전자 소자의 다층 유전체막 및 그 제조 방법
JP4177803B2 (ja) * 2004-10-21 2008-11-05 株式会社東芝 半導体装置の製造方法
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
KR100642400B1 (ko) 2004-12-17 2006-11-08 주식회사 하이닉스반도체 반도체 장치의 유전막 제조방법
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP4694209B2 (ja) * 2005-01-05 2011-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8367493B1 (en) * 2005-04-20 2013-02-05 Spansion Llc Void free interlayer dielectric
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7273811B2 (en) * 2005-06-27 2007-09-25 The Regents Of The University Of California Method for chemical vapor deposition in high aspect ratio spaces
US7195999B2 (en) 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
JP4534041B2 (ja) * 2005-08-02 2010-09-01 株式会社デンソー 半導体装置の製造方法
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US20070045752A1 (en) * 2005-08-31 2007-03-01 Leonard Forbes Self aligned metal gates on high-K dielectrics
US8071476B2 (en) 2005-08-31 2011-12-06 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
TW200720499A (en) * 2005-11-24 2007-06-01 Univ Nat Tsing Hua Manufacturing method of substrate used for forming MOSFET device and products thereof
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en) * 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7749879B2 (en) * 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7985995B2 (en) 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) * 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7432548B2 (en) * 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US8367506B2 (en) 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
JP5160175B2 (ja) * 2007-09-26 2013-03-13 株式会社東芝 半導体装置の製造方法
DE102008006326A1 (de) * 2008-01-28 2009-07-30 Robert Bosch Gmbh Sensorelement eines Gassensors
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
CN101625974B (zh) * 2008-07-08 2011-10-05 中芯国际集成电路制造(上海)有限公司 采用高能电磁辐射的快速热处理半导体衬底形成介电层的方法
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7943457B2 (en) * 2009-04-14 2011-05-17 International Business Machines Corporation Dual metal and dual dielectric integration for metal high-k FETs
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
EP2459696B1 (en) 2009-08-02 2017-11-08 Qvella Corporation Cell concentration, capture and lysis devices and methods of use thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120049899A (ko) * 2009-09-04 2012-05-17 스미또모 가가꾸 가부시키가이샤 반도체 기판, 전계 효과 트랜지스터, 집적 회로 및 반도체 기판의 제조 방법
US20110095379A1 (en) 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
CN101962758B (zh) * 2010-09-09 2013-03-27 南京大学 一种在锗衬底上低温原子层沉积Hf基栅介质薄膜的方法
JP5682372B2 (ja) * 2011-02-07 2015-03-11 ソニー株式会社 電池用セパレータ、電池用セパレータの製造方法、電池、電池パックおよび電子機器
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6212488B2 (ja) 2011-07-25 2017-10-11 クヴェッラ コーポレーション 電気的な試料調製のための方法及びデバイス
KR101629869B1 (ko) 2011-09-16 2016-06-13 엠파이어 테크놀로지 디벨롭먼트 엘엘씨 그래핀 결함의 변경
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
KR101475996B1 (ko) * 2012-02-29 2014-12-24 매그나칩 반도체 유한회사 유전체, 이를 구비한 캐패시터 및 그 제조방법, 반도체 소자 제조방법
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP5825683B2 (ja) * 2012-07-20 2015-12-02 日本電信電話株式会社 半導体装置の製造方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016201497A (ja) * 2015-04-13 2016-12-01 株式会社東芝 固体撮像装置の製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US11152214B2 (en) 2016-04-20 2021-10-19 International Business Machines Corporation Structures and methods for equivalent oxide thickness scaling on silicon germanium channel or III-V channel of semiconductor device
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP6887307B2 (ja) * 2017-05-19 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7089967B2 (ja) * 2018-07-17 2022-06-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP2019091936A (ja) * 2019-02-27 2019-06-13 株式会社東芝 固体撮像装置の製造方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210123143A1 (en) * 2019-10-23 2021-04-29 Applied Materials, Inc. Hafnium aluminum oxide coatings deposited by atomic layer deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210108736A (ko) 2020-02-26 2021-09-03 삼성전자주식회사 커패시터, 이를 포함하는 반도체 장치. 및 커패시터 제조 방법
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220030010A (ko) 2020-09-02 2022-03-10 삼성전자주식회사 반도체 소자 및 이를 포함하는 반도체 장치
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022129872A (ja) * 2021-02-25 2022-09-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US3381114A (en) 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4215156A (en) 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4333808A (en) 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4394673A (en) 1980-09-29 1983-07-19 International Business Machines Corporation Rare earth silicide Schottky barriers
GB2085166A (en) 1980-10-07 1982-04-21 Itt Ind Ltd Semiconductor gas sensor
DE3364607D1 (en) 1982-03-15 1986-08-28 Toshiba Kk Optical type information recording medium
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4920071A (en) 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
DE3606959A1 (de) 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
US6120531A (en) 1987-05-20 2000-09-19 Micron, Technology Physiotherapy fiber, shoes, fabric, and clothes utilizing electromagnetic energy
JPH07120719B2 (ja) 1987-12-02 1995-12-20 三菱電機株式会社 半導体記憶装置
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5198029A (en) * 1989-08-01 1993-03-30 Gte Products Corporation Apparatus for coating small solids
AU625016B2 (en) 1989-12-22 1992-06-25 Sumitomo Electric Industries, Ltd. Microwave component and method for fabricating substrate for use in microwave component
US5055319A (en) 1990-04-02 1991-10-08 The Regents Of The University Of California Controlled high rate deposition of metal oxide films
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5080928A (en) * 1990-10-05 1992-01-14 Gte Laboratories Incorporated Method for making moisture insensitive zinc sulfide based luminescent materials
US5032545A (en) 1990-10-30 1991-07-16 Micron Technology, Inc. Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit capacitors produced thereby
US5089084A (en) * 1990-12-03 1992-02-18 Micron Technology, Inc. Hydrofluoric acid etcher and cascade rinser
US5302461A (en) 1992-06-05 1994-04-12 Hewlett-Packard Company Dielectric films for use in magnetoresistive transducers
JP3328389B2 (ja) 1993-09-14 2002-09-24 康夫 垂井 強誘電体薄膜の製造方法
US5828080A (en) 1994-08-17 1998-10-27 Tdk Corporation Oxide thin film, electronic device substrate and electronic device
US5822256A (en) 1994-09-06 1998-10-13 Intel Corporation Method and circuitry for usage of partially functional nonvolatile memory
US6013199A (en) * 1997-03-04 2000-01-11 Symyx Technologies Phosphor materials
US5625233A (en) 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
KR0141160B1 (ko) 1995-03-22 1998-06-01 김광호 강유전체 메모리 장치 및 그 제조방법
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5753934A (en) 1995-08-04 1998-05-19 Tok Corporation Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film
KR0164072B1 (ko) 1995-11-13 1999-02-01 김주용 반도체 소자의 얕은 접합 형성방법
US5756404A (en) 1995-12-07 1998-05-26 Micron Technologies, Inc. Two-step nitride deposition
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5735960A (en) 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US5674574A (en) 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP3193302B2 (ja) 1996-06-26 2001-07-30 ティーディーケイ株式会社 膜構造体、電子デバイス、記録媒体および強誘電体薄膜の製造方法
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5698022A (en) 1996-08-14 1997-12-16 Advanced Technology Materials, Inc. Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6010969A (en) * 1996-10-02 2000-01-04 Micron Technology, Inc. Method of depositing films on semiconductor devices by using carboxylate complexes
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5926730A (en) * 1997-02-19 1999-07-20 Micron Technology, Inc. Conductor layer nitridation
US6232847B1 (en) 1997-04-28 2001-05-15 Rockwell Science Center, Llc Trimmable singleband and tunable multiband integrated oscillator using micro-electromechanical system (MEMS) technology
US6060743A (en) 1997-05-21 2000-05-09 Kabushiki Kaisha Toshiba Semiconductor memory device having multilayer group IV nanocrystal quantum dot floating gate and method of manufacturing the same
US6191470B1 (en) * 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6020024A (en) 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6063202A (en) 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6350704B1 (en) * 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
US5953587A (en) 1997-11-24 1999-09-14 The Trustees Of Princeton University Method for deposition and patterning of organic thin film
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US5972847A (en) 1998-01-28 1999-10-26 Lockheed Martin Energy Method for making high-critical-current-density YBa2 Cu3 O7 superconducting layers on metallic substrates
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6093944A (en) 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6027961A (en) 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6391769B1 (en) 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6125062A (en) 1998-08-26 2000-09-26 Micron Technology, Inc. Single electron MOSFET memory device and method
US6141260A (en) * 1998-08-27 2000-10-31 Micron Technology, Inc. Single electron resistor memory device and method for use thereof
US6074953A (en) 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6225237B1 (en) 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
ATE533178T1 (de) 1998-09-09 2011-11-15 Texas Instruments Inc Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
AU1339700A (en) * 1998-11-02 2000-05-22 Presstek, Inc. Transparent conductive oxides for plastic flat panel displays
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6207522B1 (en) 1998-11-23 2001-03-27 Microcoating Technologies Formation of thin film capacitors
US6270835B1 (en) 1999-10-07 2001-08-07 Microcoating Technologies, Inc. Formation of this film capacitors
US6210999B1 (en) 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
US6274937B1 (en) 1999-02-01 2001-08-14 Micron Technology, Inc. Silicon multi-chip module packaging with integrated passive components and method of making
US6255852B1 (en) 1999-02-09 2001-07-03 Micron Technology, Inc. Current mode signal interconnects and CMOS amplifier
US6303500B1 (en) 1999-02-24 2001-10-16 Micron Technology, Inc. Method and apparatus for electroless plating a contact pad
US6300255B1 (en) 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6445023B1 (en) 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
KR100319884B1 (ko) 1999-04-12 2002-01-10 윤종용 반도체소자의 커패시터 및 그 제조방법
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6498362B1 (en) 1999-08-26 2002-12-24 Micron Technology, Inc. Weak ferroelectric transistor
US6141238A (en) 1999-08-30 2000-10-31 Micron Technology, Inc. Dynamic random access memory (DRAM) cells with repressed ferroelectric memory methods of reading same, and apparatuses including same
US6337805B1 (en) * 1999-08-30 2002-01-08 Micron Technology, Inc. Discrete devices including EAPROM transistor and NVRAM memory cell with edge defined ferroelectric capacitance, methods for operating same, and apparatuses including same
US6187484B1 (en) * 1999-08-31 2001-02-13 Micron Technology, Inc. Irradiation mask
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
TW468212B (en) * 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6541079B1 (en) 1999-10-25 2003-04-01 International Business Machines Corporation Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US20030032270A1 (en) * 2001-08-10 2003-02-13 John Snyder Fabrication method for a device for regulating flow of electric current with high dielectric constant gate insulating layer and source/drain forming schottky contact or schottky-like region with substrate
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100313091B1 (ko) 1999-12-29 2001-11-07 박종섭 반도체장치의 TaON 게이트절연막 형성방법
US6437425B1 (en) * 2000-01-18 2002-08-20 Agere Systems Guardian Corp Semiconductor devices which utilize low K dielectrics
WO2001054200A1 (en) * 2000-01-19 2001-07-26 North Carolina State University Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors and methods of fabricating same
US6527866B1 (en) * 2000-02-09 2003-03-04 Conductus, Inc. Apparatus and method for deposition of thin films
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6297103B1 (en) * 2000-02-28 2001-10-02 Micron Technology, Inc. Structure and method for dual gate oxide thicknesses
US6249460B1 (en) 2000-02-28 2001-06-19 Micron Technology, Inc. Dynamic flash memory cells with ultrathin tunnel oxides
DE10010821A1 (de) 2000-02-29 2001-09-13 Infineon Technologies Ag Verfahren zur Erhöhung der Kapazität in einem Speichergraben und Grabenkondensator mit erhöhter Kapazität
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6380080B2 (en) * 2000-03-08 2002-04-30 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6342445B1 (en) * 2000-05-15 2002-01-29 Micron Technology, Inc. Method for fabricating an SrRuO3 film
US6432779B1 (en) 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
US6444512B1 (en) * 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6444592B1 (en) 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
JP3786566B2 (ja) * 2000-06-27 2006-06-14 株式会社東芝 半導体装置及びその製造方法
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6290491B1 (en) 2000-06-29 2001-09-18 Motorola, Inc. Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
JP3667202B2 (ja) * 2000-07-13 2005-07-06 株式会社荏原製作所 基板処理装置
AU2001280609A1 (en) * 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6420230B1 (en) * 2000-08-31 2002-07-16 Micron Technology, Inc. Capacitor fabrication methods and capacitor constructions
US7217615B1 (en) * 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US6518634B1 (en) * 2000-09-01 2003-02-11 Motorola, Inc. Strontium nitride or strontium oxynitride gate dielectric
US6465334B1 (en) 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6300203B1 (en) 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
JP3681632B2 (ja) 2000-11-06 2005-08-10 松下電器産業株式会社 半導体装置及びその製造方法
US6368941B1 (en) 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
US6534357B1 (en) * 2000-11-09 2003-03-18 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
AU2002241496A1 (en) * 2000-11-20 2002-06-18 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6524867B2 (en) * 2000-12-28 2003-02-25 Micron Technology, Inc. Method for forming platinum-rhodium stack as an oxygen barrier
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6518610B2 (en) * 2001-02-20 2003-02-11 Micron Technology, Inc. Rhodium-rich oxygen barriers
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
US6602720B2 (en) * 2001-03-28 2003-08-05 Sharp Laboratories Of America, Inc. Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same
US6441417B1 (en) * 2001-03-28 2002-08-27 Sharp Laboratories Of America, Inc. Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6552383B2 (en) * 2001-05-11 2003-04-22 Micron Technology, Inc. Integrated decoupling capacitors
KR100426219B1 (ko) * 2001-05-18 2004-04-06 홍국선 유전체 세라믹 조성물 및 이를 이용한 적층부품의 제조방법
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US6919266B2 (en) * 2001-07-24 2005-07-19 Micron Technology, Inc. Copper technology for ULSI metallization
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US6461914B1 (en) 2001-08-29 2002-10-08 Motorola, Inc. Process for making a MIM capacitor
US7129128B2 (en) * 2001-08-29 2006-10-31 Micron Technology, Inc. Method of improved high K dielectric-polysilicon interface for CMOS devices
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US6573199B2 (en) * 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US7160817B2 (en) * 2001-08-30 2007-01-09 Micron Technology, Inc. Dielectric material forming methods
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6754108B2 (en) * 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6451662B1 (en) 2001-10-04 2002-09-17 International Business Machines Corporation Method of forming low-leakage on-chip capacitor
US6683011B2 (en) * 2001-11-14 2004-01-27 Regents Of The University Of Minnesota Process for forming hafnium oxide films
US6514808B1 (en) * 2001-11-30 2003-02-04 Motorola, Inc. Transistor having a high K dielectric and short gate length and method therefor
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6821873B2 (en) 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6893984B2 (en) 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6846738B2 (en) * 2002-03-13 2005-01-25 Micron Technology, Inc. High permeability composite films to reduce noise in high speed interconnects
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US6642573B1 (en) 2002-03-13 2003-11-04 Advanced Micro Devices, Inc. Use of high-K dielectric material in modified ONO structure for semiconductor devices
US6750066B1 (en) 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6709926B2 (en) * 2002-05-31 2004-03-23 International Business Machines Corporation High performance logic and high density embedded dram with borderless contact and antispacer
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6524901B1 (en) * 2002-06-20 2003-02-25 Micron Technology, Inc. Method for forming a notched damascene planar poly/metal gate
US6888739B2 (en) 2002-06-21 2005-05-03 Micron Technology Inc. Nanocrystal write once read only memory for archival storage
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7847344B2 (en) * 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7192824B2 (en) * 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US6785120B1 (en) * 2003-07-03 2004-08-31 Micron Technology, Inc. Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and capacitor constructions comprising hafnium oxide
US6989573B2 (en) * 2003-10-10 2006-01-24 Micron Technology, Inc. Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US7601649B2 (en) * 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US20070018214A1 (en) * 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films

Also Published As

Publication number Publication date
KR100623137B1 (ko) 2006-09-14
WO2003105205A1 (en) 2003-12-18
CN1672244A (zh) 2005-09-21
US7554161B2 (en) 2009-06-30
EP1518263A1 (en) 2005-03-30
US20050023624A1 (en) 2005-02-03
KR20050007592A (ko) 2005-01-19
AU2003243407A1 (en) 2003-12-22
US7135421B2 (en) 2006-11-14
JP2005529492A (ja) 2005-09-29
US20030227033A1 (en) 2003-12-11

Similar Documents

Publication Publication Date Title
CN100511594C (zh) 氧化铪铝介质薄膜
CN100530562C (zh) 原子层沉积的电介质层
US7045430B2 (en) Atomic layer-deposited LaAlO3 films for gate dielectrics
US7388246B2 (en) Lanthanide doped TiOx dielectric films
US7326980B2 (en) Devices with HfSiON dielectric films which are Hf-O rich
US7625794B2 (en) Methods of forming zirconium aluminum oxide
US7268035B2 (en) Methods of forming semiconductor constructions comprising cerium oxide and titanium oxide
US8445952B2 (en) Zr-Sn-Ti-O films
US8125038B2 (en) Nanolaminates of hafnium oxide and zirconium oxide
US7402876B2 (en) Zr— Sn—Ti—O films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20090708

CX01 Expiry of patent term