CN100479158C - 沿多个表面具有应变晶格结构的fet沟道 - Google Patents

沿多个表面具有应变晶格结构的fet沟道 Download PDF

Info

Publication number
CN100479158C
CN100479158C CNB2004800210466A CN200480021046A CN100479158C CN 100479158 C CN100479158 C CN 100479158C CN B2004800210466 A CNB2004800210466 A CN B2004800210466A CN 200480021046 A CN200480021046 A CN 200480021046A CN 100479158 C CN100479158 C CN 100479158C
Authority
CN
China
Prior art keywords
channel
raceway groove
lattice structure
grid
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2004800210466A
Other languages
English (en)
Other versions
CN1826690A (zh
Inventor
拉齐夫·V·约什
理查德·Q·威廉姆斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microsoft Technology Licensing LLC
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1826690A publication Critical patent/CN1826690A/zh
Application granted granted Critical
Publication of CN100479158C publication Critical patent/CN100479158C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/903FET configuration adapted for use as static memory cell

Abstract

一种FinFET(10)的沟道(16),它具有沟道核心(24)和沟道外壳(32),各由确定不同晶格结构的半导体材料组成,以便利用应变硅的性质。栅通过栅介质被耦合到沟道外壳。示例性材料是Si和SixGe1-x,其中78<x<92。沟道核心(24)具有宽度为wc的顶部表面(26)和高度为hc的直立表面(28,30),优选彼此取向成90度。沟道外壳(32)与顶部表面(26)和直立表面(28,30)相接触,致使与仅仅沿顶部表面(26)的接触相比,增大了界面面积,改善了导电性和栅(18)对沟道(16)的控制。为了能够在稳定的SRAM中得到尺寸更小的FET(10),可以调整高度hc。公开了各种形成沟道(16)的方法,包括掩蔽和腐蚀方法、处置晶片/载体晶片方法、以及浅沟槽方法。公开了具有一个到四个栅的FinFET的实施方案和方法。

Description

沿多个表面具有应变晶格结构的FET沟道
技术领域
此技术一般涉及到设置在半导体晶片或芯片上的场效应晶体管(FET),确切地说是涉及到作为连接FET源与漏的沟道的层状结构,其中各个层中一个层的特征在于应变的晶格结构。
背景技术
半导体和集成电路芯片由于其成本和尺寸不断降低而已经在许多产品中变得随处可见。小型化通常能够在更低的功率电平和更低的成本下提高性能(单位时间内的处理次数更多且产生的热更少)。目前的技术处于或接近诸如逻辑门、FET、电容器之类的某些微器件的原子级尺寸。具有成千上万这种器件的电路芯片并不罕见。而且,尺寸的减小看来接近了埋置在其半导体衬底上以及埋置在其半导体衬底内的轨线和微器件的物理极限。本发明的目标就是这种微尺寸的FET器件。FET是一种由源、栅、漏组成的晶体管。FET的作用依赖于多数载流子沿源与漏之间的越过栅行进的沟道的流动。通过源与漏之间的沟道的电流,由栅下方的横向电场来控制。可以用一个以上的栅来更有效地控制沟道。栅的长度决定了FET转换的快慢以及电路所能够工作的速度,且通常大约与沟道的长度(亦即源与漏之间的距离)相同。目前现有技术的栅长度约为50nm,并在下一个10年内正向10nm推进。这种尺寸的减小应该能够在单个芯片上得到10亿个以上的器件。但这种小尺寸要求对诸如短沟道效应、穿通、以及MOS泄漏电流之类的性能问题进行更严格的控制。
通过使用一个或多个称之为鳍的鳍形沟道,新近已经成功地减小了FET的尺寸。采用鳍的FET被称之为FinFET。先前,互补金属氧化物半导体(CMOS)器件除了FET栅被设置在沟道顶部上之外,基本上沿半导体衬底表面是平坦的。鳍利用垂直结构突破了这一范例,以便尽量增大暴露于栅的沟道表面积。由于栅延伸在鳍形沟道的3个侧面上而不是仅仅跨越更传统的平坦沟道的顶部,故栅对沟道的控制更强。是为具有6个鳍的现有技术FET的TEM显微照片的图1,示出了FinFET的一个例子。各个成对的鳍包含NFET和PFET。
提高鳍性能的一种方法是在不同材料的层中形成鳍。在美国专利No.6252284 B1“Planarized Silicon Fin Device”中,描述了一种受让于本发明受让人的用来控制短沟道效应的示例性器件。
多层平整FET鳍中多个层之一常常是应变硅。先前已经发现,对硅的晶格结构进行双轴拉伸,能够加速电子通过晶体管的流动,从而提高性能并降低功耗。不同层中的原子有一种自然的倾向,即借助于沿失配的平坦界面拉伸和/或压缩其失配的晶格结构而彼此对准。改变各个材料的厚度和化学组成,有助于控制各个材料中的延伸和压缩程度。作为一个例子,图2不按比例地示出了天然(未被拉伸)状态下的硅晶格和锗晶格。当硅被设置(或生长)在较厚的锗层上时,如在图2b的SiGe化合物中那样,硅的晶格拉伸而锗的晶格基本上保持不变,得到应变硅。由于锗层相对地较大的厚度产生了较大的结构完整性和对压缩的较大阻力,故得到了这一结果。晶格拉伸的实际过程也可以引起Si和Ge的原子在晶格结构内相互混合。这使二种原子汇集在单个层内,其中,与天然(未被拉伸的)硅晶格结构相比,较大的Ge原子强迫汇集的晶格结构发生拉伸。还可能实现与图2b所示相反的效应,其中,较薄的锗层当被键合到较厚的硅层时,呈现出晶格压缩。在NFET中,应变硅已经显示出直至70%的电子/空穴迁移率提高,而在PFET中,已经显示出直至30%的电子/空穴迁移率提高。诸如硅锗碳之类的其它材料也可以被用来形成提高导电性的结晶异质结构。存在着几种用来形成应变层的方法,包括化学气相淀积(CVD)和分子束外延(MBE)。
沿平面设置的应变硅已经被用于现有技术的FinFET中。但电流泄漏一直是进一步向原子极限缩小FET的一个限制因素。由于通常伴随沟道长度的长度较短的FET栅对电荷载流子(空穴或电子)的控制能力较小,故随着小型化的进展,电流泄漏成了一个日益重要的关切。
晶体管被关断时的非有意电荷载流子流动,被称为“电流泄漏”。电流泄漏是待机晶体管消耗功率的主要来源。电流泄漏可以被分为二种类型:MOS关断电流和栅隧穿泄漏电流,在MOS关断电流中,非有意电流通过沟道而不管栅是否试图完全切断电流,在栅隧穿泄漏电流中,非有意电流跟随寄生路径流动,流入到沟道中、扩散区中、或硅本体中。随着FET沟道长度不断地减小,栅隧穿泄漏电流有望成为设计者的重要关切。鳍结构增强了栅对沟道的控制,但栅对电流的控制不是无限制的,即使在现有技术的FinFET中也是如此。综合电流泄漏问题,小型化使得能够得到甚至更低的功率水平,而这要求更绝对的栅控制。逃脱栅控制的电流泄漏更不容易区别于有意电流,特别是在低电流电平下更是如此。
所需要的是一种具有提高了的NFET和PFET性能的能够按比例缩小的FET。此FET优选应该改善鳍结构中的栅控制,显示出提高了的载流子输运性质。
发明内容
根据这些论述的本优选实施方案,克服了上述和其它的问题,并实现了其它的一些优点。本发明涉及到用来电连接场效应晶体管(FET)的源与漏的沟道,通常称为FinFET的鳍。此沟道包括沟道核心和沟道外壳(channel envelope)。沟道核心被耦合到诸如SIMOX晶片(具有被注入氧分隔开的上部区域的晶片)或键合晶片之类的衬底。沟道核心确定了分隔于衬底的顶部表面以及衬底与顶部表面之间的相反的侧面。沟道核心由确定第一晶格结构的第一半导体材料组成。
沟道外壳与沟道核心的相反的侧面以及顶部表面相接触。沟道外壳由确定不同于第一晶格结构的第二晶格结构的第二半导体材料组成。晶格结构的这一差异由于晶格结构的拉伸或压缩而提供了增强的导电性。此二种材料优选为硅和硅锗化合物。
在本发明的另一情况下,沟道核心确定了顶部表面和邻接的侧面。在一个优选实施方案中,顶部表面是水平顶部,而侧面是沟道核心二个直立侧壁之一,但可以采用二个从衬底延伸且会合于顶峰处的二个直立表面或由第三横向表面连接的二个侧壁。沟道核心包含第一半导体材料,而沟道外壳包含不同于第一半导体材料的第二半导体材料。至少第一或第二半导体材料之一由于拉伸或压缩晶格结构之一而显示出提高了的导电性。沟道外壳与顶部表面和侧面相接触,与仅仅沿顶部表面提供界面的现有技术的沟道相比,提供了不同晶格材料之间更大的界面面积。上述的示例性材料可用来提供拉伸的或压缩的晶格结构。
本发明还包括制作FET沟道的方法。在一种方法中,衬底被提供成具有第一半导体材料的重叠层。例如,利用掩蔽和腐蚀技术,第一沟道核心由重叠层确定。沟道核心确定了与衬底分隔开的顶部表面以及衬底与顶部表面之间的相反的第一和第二侧壁。此方法还包括将第二半导体材料层设置成至少接触二个表面(亦即顶部表面以及第一侧壁和第二侧壁中的至少二个)。通过不同于第一半导体材料的第二半导体材料层的导电性由于其与沟道核心接触而得到提高。第二半导体材料层可以经由真空淀积来淀积,或可以被生长在载体晶片上并与之分隔开以便接触沟道核心的适当表面。
形成沟道的另一种方法包括在衬底上提供第一半导体材料层。此方法包括在上层中形成沟槽,然后由沟槽将上层分成第一区和第二区。可以用诸如TEOS(也称为四乙氧基硅烷、四乙基原硅酸盐、四乙烯基原硅酸盐、四乙氧基硅化物)之类的缓冲材料来填充此沟槽。然后清除第二区部分,留下厚度小于沟槽深度的第一半导体材料的剩余层。这暴露了部分沟槽。然后,此方法包括将第二半导体材料层设置在剩余层上邻接于沟槽。上面讨论了示例性半导体材料。剩余层优选小于大约15nm。在第二半导体材料是SixGe1-x的情况下,可以选择锗的相对浓度来促进沟道的热稳定性。锗的含量优选约为8-22%,最优选约为10-20%,且低温化学气相淀积工艺被用来形成第二半导体材料层。
而且,此处所述的是一种用来电连接场效应晶体管(FET)的源与漏的沟道,它包含沟道核心以及沟道外壳,沟道核心包含耦合到衬底的底部表面,并确定与衬底分隔开的顶部表面以及底部表面与顶部表面之间的相反的侧壁表面,其中,沟道核心由确定第一晶格结构的第一半导体材料组成,沟道外壳与顶部表面、底部表面、以及侧壁表面之一中的至少一个(或它们的组合)相接触,其中,沟道外壳包含第二半导体材料,它包含不同于第一晶格结构的应变晶格结构,此沟道外壳被电耦合到栅电极。此沟道优选由驰豫的Si-Ge晶格组成,而外壳由应变的硅晶格组成。
此处公开了采用根据本论述的FinFET的一种示例性电路SRAM电路。
附图说明
参照附图,在优选实施方案的下列详细描述中,这些论述的上述和其它的情况变得更为明显。在这些附图中:
图1是具有6个鳍的现有技术FET的TEM显微照片;
图2a和2b是现有技术图,示出了当设置成相反于其天然状态(图2a)的邻接于锗(图2b)时,硅的晶格结构的应变情况;
图3是方框图,示出了一种其中可以应用本发明的FinFET;
图4是沿图3中4’-4’线的剖面图;
图5a-5f是放大的剖面图,示出了一种利用掩蔽和腐蚀将沟道外壳淀积到沟道核心上来制作根据本发明的沟道的方法;
图6a-6h是放大的剖面图,示出了另一种利用载体晶片和处置晶片来制作至少一个沟道的方法;
图7a-7f是放大的剖面图,示出了另一种利用浅沟槽来制作PFET沟道的方法;
图8a-8f是FinFET器件各种实施方案的透视图;而
图9示出了一种SRAM电路,可用来讨论量子化。
具体实施方式
上面描述了图1和2a-2b,并用来理解本发明。图3在方框图中示出了FET 10。如本技术领域所知,源12和漏14经由被栅18跨越的沟道16被电连接。在单个FET 10中可以有一个或多个沟道16和栅18。如此处所使用的那样,沟道16的长度是从源12到漏14的距离,如图3所示。
图4示出了沟道16沿剖面线4’-4’的剖面图。FET 10被设置在诸如其上有埋置氧化物层22的硅21之类的衬底20上。沟道核心24由设置在衬底20上的第一半导体材料组成。如本技术领域所知,衬底20优选为硅基晶片,例如SIMOX晶片、键合晶片、或CZ硅晶片(用切克拉尔斯基工艺制作的硅晶片)。沟道核心优选被制作在形成部分衬底20的埋置氧化物层22的顶部上。沟道核心24确定了底部表面27(被示为附着于衬底20)、分隔于衬底20的顶部表面26、以及设置在衬底20与顶部表面26之间的相反的侧壁28和30。组成沟道核心的第一半导体材料确定了第一天然晶格结构。如此处使用的那样,除非另有说明,特定材料(元素或化合物)的天然晶格结构指的是所涉及的特定材料在其天然状态下的晶格结构,亦即未被诸如上述对应变硅那样的外部操纵而拉伸、压缩、或应变的晶格结构。显示出驰豫晶格结构的化合物此处未被描述为天然状态的晶格结构,在驰豫的晶格结构中,驰豫仅仅是由于目的在于清除可能存在的张应力或压应力而进行的退火或其它处理。
当在剖面中观察沟道16时,沟道外壳32基本上被设置在沟道核心部分24的没有直接与衬底20相接触的部分上,至少在被栅18跨越的沟道16部分处。沟道外壳32优选被耦合到沟道核心24的顶部表面26以及相反的侧壁28和30。沟道外壳32由确定不同于第一晶格结构的第二晶格结构的第二半导体材料组成。第一与第二半导体材料的不同的天然晶格结构至少在沟道核心24与沟道外壳32之间的边界处引起张应力或压应力。此处称为“异质结”的这一天然晶格的失配,方便了栅介质接触异质结叠层处的载流子输运。但载流子输运不一定要在异质结处被最大化;如本技术领域所知,依赖于各种因素,载流子输运的主沟道也可以形成在一种材料或其它不同的材料内。沟道核心24确定了核心的宽度wc和核心高度hc。同样,沟道外壳32确定了外壳宽度we和外壳高度he。可以选择这些尺寸的任何一个或全部来优化或控制相反侧壁28和30与顶部表面26的组合表面积。在沟道核心24和/或外壳32不是矩形(如此处主要所示的那样)的情况下,例如当沟道核心24是三角形且沟道外壳32被设置在沟道核心24的二侧上时,宽度和高度被认为是特定分量的平均值。可以根据第一和/或第二半导体材料的特定材料、掺杂剂在其中的存在、或核心24和外壳32的尺寸,来选择采用此处所述的本发明沟道16的FET 10的阈值电压。
对于PFET,包含沟道核心24的第一半导体材料优选是硅,而包含沟道外壳32的第二半导体材料优选是诸如Si0.7Ge0.3之类的包含硅和锗的化合物。对于NFET,包含沟道核心24的第一半导体材料优选是被处理成显示出驰豫晶格结构的包含硅和锗的化合物,而包含沟道外壳32的第二半导体材料优选是硅。
可以由多晶或金属或本技术领域所知的其它材料组成的栅18,被设置在沟道16上,以便通过设置在沟道外壳32周围的也称为栅介质的介质层33而接触沟道外壳32。介质层33可以包括氧化物、氮氧化物、或稀土氧化物(例如氧化铪)。沟道外壳32被设置为基本上防止和优选完全地防止栅18与沟道核心24之间的接触。
图5示出了用来制作根据此处所述的FET 10的一种优选方法。图5a-5f示出了一个实施方案,其中,PFET沟道34和NFET沟道36二者都被设置在同一个衬底20上。
在图5a中,提供了包括埋置氧化物层(BOX)22并层叠有诸如硅之类的第一半导体材料层18的衬底20。可以用本技术领域所知的SIMOX晶片,可以用键合晶片,或可以用切克拉尔斯基工艺提供的晶片,来提供衬底层20、BOX层22、以及第一半导体材料层38的组合。在图5b中,利用掩蔽和腐蚀,或利用本技术所知的其它方法,第一沟道核心40和第二沟道核心42由第一半导体材料层38确定。第一沟道核心40的长度和/或宽度可以不同于第二沟道核心42的长度和/或宽度。图5c示出了第二沟道核心42上以及除了第一沟道核心40紧邻之外的所有区域上的掩模44(示出了二个掩模)。腐蚀或其它所知的工艺可以被用来从与第一沟道核心40的相反的侧壁表面的接触清除掩模44,或用来防止掩模44接触这些侧壁。第一沟道核心40将成为PFET沟道34。
图5d示出了第二半导体材料46在整个晶片上的淀积。第二半导体材料层46优选是通过超高真空化学气相淀积(UHVCVD)所淀积的硅和锗的化合物,但其它化学淀积工艺也是兼容的。层46最优选将厚度确定为5-10nm,且层46的厚度决定于锗的浓度以及有关这种锗浓度的层热稳定性的标准。整个图5d在图5e中被整平,以便清除重叠掩模44的整个第二半导体材料层46部分。掩模44的剩余部分在图5f中被清除,留下PFET沟道34和邻近的NFET沟道36。更新近开发的各种方法描述了在硅上选择性地淀积SiGe。利用这种技术,SiGe层46能够被选择性地淀积在暴露的硅沟道核心40上,并能够经由化学腐蚀清除任何残留物。在第一半导体材料层38是硅,且第二半导体材料层46是SixGe1-x的情况下,由SixGe1-x化合物组成的沟道外壳由于下方硅层18较小的天然晶格结构而处于压应力下。
图6示出了一种变通的方法。在图6a中,处置晶片48包括前面所述的埋置氧化物层22以及诸如硅的第一半导体材料的上方层38。图6b示出了使用掩蔽和腐蚀来确定邻接于第一半导体材料层38的剩余部分38a的一个或多个沟槽47。考虑到处置晶片48的总体膨胀,沟槽47和/或第一半导体材料层38的剩余部分38a可以相连或不相连。与图6a-6b所述工艺同时或分隔开一定时间,在图6c中将诸如应变的或驰豫的SiGe之类的第二半导体材料层46层叠在分立的载体晶片50上。然后在图6c中,对具有上方层46的载体晶片50进行离子注入。如本技术领域所知,诸如氢或硼离子的离子52的注入使上层46能够在通常低于大约600℃的温度下被退火并分隔于载体晶片50,同时还提供了无位错的高质量的SiGe。还能够达到SiGe层46的几乎完全(大于或等于95%)应变驰豫。上述工艺在本技术领域中被提出称为“智能切割”技术,并在此处列为参考的论文Lijuan Huang et al,ELECTRON AND HOLE MOBILITY ENHANCEMANT INSTRAINED SOI BY WAFER BONDING,IEEE Transactions onElectron Devices,vol.49,no.9,September 2002,pp.1566-1571中更确切地进行了描述。在图6d中,掩蔽和腐蚀被用来从第二半导体材料层46确定一个或多个小岛49。载体晶片50上小岛49的形状互补于处置晶片48上的沟槽47的形状。薄的氧化物层51优选被设置在至少小岛49上,以便于稍后键合到处置晶片48。一旦形成了小岛49,就可以根据诸如侧壁图象转移之类的熟知的FinFET加工技术来进一步加工。
图6e示出了与图6b的结构结合在一起的图6d结构。在图6e中,载体晶片50被倒置在处置晶片48上。小岛49与沟槽47被彼此准确对准,优选精确到0.25微米以内。智能切割技术的上述退火工艺被用来从载体晶片50移去小岛49。小岛49从而位于沟槽47内,并被薄氧化物层51键合到处置晶片48。优选移去载体晶片50,以便在高温退火和抛光之后重新用作处置晶片。在某些实施方案中,通过上层46的垂直腐蚀以及由此垂直腐蚀限定的离子注入,被用来将一个以上的层(一种以上的半导体材料)组合在小岛49中。如上所述可以安置这种小岛49来配合处置晶片48上的凹陷沟槽47,或可以在处置晶片48上的硅或其它半导体材料的抬高的表面上淀积这种小岛49。如下面更充分地描述的那样,这些实施方案导致二层以上的异质结。
图6f示出了小岛49和剩余部分38a的暴露表面的整平,其中,这些表面与处置晶片48相反。整平和抛光被用来得到基本上均匀的高度。
图6g示出了一个步骤,其中,小岛49和剩余部分38a都被掩蔽和腐蚀即被加工,以便确定一个或多个PFET沟道核心53,优选还确定一个或多个NFET沟道核心55。虽然如此处所述优选同时制作PFET和NFET,但本发明并不要求如此。如图6h所示,不同于第一半导体材料的半导体材料外延层被生长或设置在PFET沟道核心53上,以便形成沟道外壳32,产生应变硅或其它异质层。
依赖于生成的沟道16的所需性质,沟道外壳32的材料可以是应变的或非应变的。沿沟道核心24的相反侧壁表面28或30和底部表面27/顶部表面26所确定的线而出现最大的晶格不连续性。
PFET沟道34或NFET沟道36优选特征在于约为100-150埃的宽度和约为500-600埃的高度。这些尺寸可以按比例改变。应变晶格优选沿PFET沟道34或NFET沟道36的侧壁28和30以及顶部表面26二者设置。
静态随机存取存储器(SRAM)中的FET 10是其中能够利用沿应变侧壁的载流子通道的一种具体应用。SRAM是一种存储器,它不像动态RAM(DRAM)那样需要刷新,故SRAM通常快速得多(典型地说,SRAM约为10ns,而DRAM约为60ns)且更可靠。此外,由于SRAM在各个存取之间不需要暂停,故其周期(存储器芯片能够做到的二个紧挨存取的快速程度的一种度量)比DRAM的短得多。SRAM的设计通常将FET假定为几种固定的尺寸(量子化)。但沟道16的宽度对于SRAM的稳定性是关键的,从而在尺寸与稳定性之间有一折中。为了调制沟道的高度,以便能够得到仍然确保SRAM稳定性的更小的FET 10,可以采用根据本发明的使用鳍的FET 10,而不选择沿x-y平面(图3的平面)的量子化沟道宽度可能比所需更大的FET。在制作过程中,初始硅至少可以与估计的最高的鳍一样高。单元内某些器件的选择性掩蔽和腐蚀对鳍的高度进行裁剪,以便达到所希望的SRAM稳定性。优选采用慢腐蚀来确保均匀性和鳍高度的精细控制。此外,可以利用多个鳍,优选按并列关系,来调整有效沟道宽度。
图7是一系列方框图,示出了制作PFET过程中的各个工艺步骤。图7示出了安放于诸如SiGe之类的第一半导体材料与诸如Si之类的第二半导体材料之间的浅沟槽隔离(STI)。在图7a中,衬底20支持着上面有诸如硅之类的第一半导体材料层38的埋置氧化物层(BOX)22。如前面所述和本技术领域所知那样,此衬底/BOX/上层的组合70,可以是SIMOX晶片、键合晶片、或CZ晶片。在图7b中,形成了沟槽58,并如本技术领域所知,用氧化物或诸如TEOS之类的其它绝缘体填充此沟槽58。要指出的是,在几个步骤中来执行图7b所表示的沟槽形成和填充,且沟槽58将第一半导体材料层38分成第一区域62和PFET区域64。作为变通,可以腐蚀沟槽58而不填充,也不偏离本发明的优点。在图7c中,掩模层44被选择性地设置在沟槽58和第一半导体材料区域62上。在图7d中,PFET区域64被回腐蚀成薄层60,优选厚度约为10nm。在图7e中,在清除掩模44之前或之后,第二半导体材料层46被设置在薄层60上。如图7e所示,薄层60包括水平表面67和基本上垂直的表面68。
第二半导体材料46优选为中等浓度的SiGe。当层46的厚度约为10-30nm时,10-20%的Ge浓度对于热稳定的鳍是优选的。已经发现,更厚的SiGe层46和/或更高的Ge浓度将是亚稳的,并可能需要进一步热处理,但不是退火。本发明的任何一个实施方案优选包括栅介质或栅氧化物的外包层。如图3所示,根据本发明的沟道16可以是FinFET 10的一部分,且FinFET 10优选可以是集成电路100的一部分。如图4所示,沟道16可以包括具有基本上直立的侧壁28和30、顶部表面26、以及底部表面27的沟道核心,或如图7e所示可以被形成为仅仅确定水平表面67和基本上垂直的表面68。作为进一步的变通,如图7f所示,沟道16可以具有从衬底22延伸并在尖锐峰或圆峰71处连结的二个侧壁表面74,以便确定一个与诸如薄层60的剖面之类的此处所示的矩形剖面相反的基本上三角形的剖面75。预计利用顶部上与栅氧化物相接触的应变硅,确定非正交剖面的沟道可能被优化。沟道外壳32可以接触沟道核心24的表面26、27、28、30中的任何二个或所有不接触衬底20的表面26、28、30。与现有技术FinFET相比,在上述任何一个实施方案中,承载电荷(电子或空穴)的应变晶格结构的更宽大的表面被更好地暴露于栅18,使栅18的控制能够更强,且电流输运能够更为有效。
图8说明了根据此处论述的FinFET结构的各种其它的实施方案。在图8所示的各种实施方案中,各结构包括具有上方应变硅层的驰豫的Si-Ge层。这种组合提供了改进的电子-空穴迁移率。
图8A-B所示的沟道16由沟道核心24组成,且上方有图4所示的沟道外壳32。如图8所示,衬底20包括埋置的氧化物层22以及硅层21。图8所示的各个结构包括源12、漏14、以及沟道16。还示出了了设置在沟道16与各个栅之间的介质层33。由于沟道16在图8C-E所示的结构内部,因而不可见,仅仅在图8A-B和8F中示出了沟道16。尽管如此,图8A-8F的各个实施方案可以包括如前面所述的沟道核心24和沟道外壳32。
在图8A中,示出了一种单栅FinFET 90。在此实施方案中,沟道16包括驰豫的Si-Ge层和应变硅层。薄的氧化物层33被设置在沟道16与单栅95之间。图8B表示FinFET的一种双栅实施方案91。除了图8A所示的单栅95之外,表示了一个第二栅96。在此实施方案中,第二栅96被埋置在埋置氧化物层22中的沟槽内。图8C示出了一种三栅FinFET 92,其中,三重栅97被形成在设置于沟道16(图8A-B所示)上的薄的氧化物层33上。图8D示出了一种四栅FinFET93,其中,四重栅98有效地环绕着沟道16。在图8D中,部分四重栅98被潜入埋置的氧化物层22中。在图8E中,示出了一种具有Pi栅99的FinFET94。Pi栅99向下延伸,并至少部分地进入到埋置的氧化物层22中。
图8F是双栅FinFET 87的另一实施方案,确定了第一栅88和第二栅89。可以例如借助于对图8C的FinFET进行抛光,直至清除沟道16上方的图8C的三重栅97部分,留下图8F的双栅88和89,来形成图8F的FinFET。沟道优选包括应变的硅锗。图8F栅安排的一个优点在于各个栅88和89可以被独立地控制,致使例如第一栅88是典型的FinFET栅,而第二栅89可以施加可变电压。以这种方式,当源12与漏14之间的电流在开通与关断之间转换时,第二栅89是一个控制耗尽区的背栅。这种第二栅89利用可变电压更好地控制了第一栅88的泄漏和性能;致使仅仅施加控制泄漏电流所必须的最小电压。
在四重栅FinFET 93和Pi栅FinFET 94上淀积应变硅层,其中,FinFET 93和94是PFET(不是NFET),改善了PFET中的迁移率,同时保留了PFET和NFET的有益方面。还要指出的是,沿[110]方向淀积应变硅层也改善迁移率。[110]方向与结晶轴成45度角。
图9示出了6晶体管SRAM单元的一个实施方案。为了SRAMFinFET量子化,优选在6晶体管常规SRAM中最小的器件(例如NFET通过门77(Pg)和上拉PFET 76(PL)的尺寸较小)上进行PFET量子化。这样,鳍高度的量子化能够基于各个器件,即将通过门NFET和上拉PFET定在一个量子高度,并将较大的NFET 78(Cc)定在另一个量子高度。或者,可以采用用于图8A-F所示实施方案的多个NFET和PFET器件中的较小的鳍高度。这一量子化将有助于形成耐用而稳定的单元。
虽然在这些优选实施方案中进行了描述,但本技术领域的熟练人员能够对上述各个实施方案做出各种修正和改变,且所有这些修正和改变仍然在本发明和所附权利要求的范围内。此处的各个例子被认为是示例性的而不是本发明论述的全部。

Claims (5)

1.一种用来电连接场效应晶体管的源和漏的沟道,包括:
耦合到衬底并确定与衬底分隔开的顶部表面以及衬底与顶部表面之间相反的侧壁表面的沟道核心,其中,沟道核心包括确定第一晶格结构的第一半导体材料,并且至少一个侧壁表面确定高度hc,而所述顶部表面确定宽度wc,且hc≥3wc
与所述相反的侧壁表面和所述顶部表面相接触的沟道外壳,其中,沟道外壳包括确定不同于第一晶格结构的第二晶格结构的第二半导体材料;以及
设置在与沟道核心面对的沟道外壳表面周围的栅氧化物。
2.权利要求1的沟道,还包括通过栅氧化物耦合到沟道外壳所确定的至少二个表面的栅。
3.权利要求1的沟道,其中,沟道是场效应晶体管的组成部分,而场效应晶体管是静态随机存取存储器的组成部分,且至少一个侧壁表面确定高度hc,此高度hc被选择来提高静态随机存取存储器稳定性。
4.权利要求1的沟道,其中,第二半导体材料覆盖二个侧壁表面和顶部表面。
5.权利要求1的沟道,其中,第一和第二半导体材料之一包括硅和锗。
CNB2004800210466A 2003-07-21 2004-07-19 沿多个表面具有应变晶格结构的fet沟道 Active CN100479158C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/626,760 2003-07-21
US10/626,760 US6921982B2 (en) 2003-07-21 2003-07-21 FET channel having a strained lattice structure along multiple surfaces

Publications (2)

Publication Number Publication Date
CN1826690A CN1826690A (zh) 2006-08-30
CN100479158C true CN100479158C (zh) 2009-04-15

Family

ID=34080479

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800210466A Active CN100479158C (zh) 2003-07-21 2004-07-19 沿多个表面具有应变晶格结构的fet沟道

Country Status (6)

Country Link
US (5) US6921982B2 (zh)
EP (1) EP1652235A4 (zh)
KR (1) KR100773009B1 (zh)
CN (1) CN100479158C (zh)
TW (1) TWI281248B (zh)
WO (1) WO2005010944A2 (zh)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6503783B1 (en) * 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR100483425B1 (ko) * 2003-03-17 2005-04-14 삼성전자주식회사 반도체소자 및 그 제조 방법
EP1643560A4 (en) * 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7307467B2 (en) * 2006-04-28 2007-12-11 International Business Machines Corporation Structure and method for implementing oxide leakage based voltage divider network for integrated circuit devices
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6970373B2 (en) * 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7091566B2 (en) * 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
KR100585111B1 (ko) * 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
KR100571827B1 (ko) * 2003-12-17 2006-04-17 삼성전자주식회사 박막 트랜지스터 및 그 제조방법
US7624192B2 (en) * 2003-12-30 2009-11-24 Microsoft Corporation Framework for user interaction with multiple network devices
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7180134B2 (en) * 2004-01-30 2007-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structures for planar and multiple-gate transistors formed on SOI
US7365398B2 (en) * 2004-02-11 2008-04-29 Cornell Research Foundation, Inc. Compact SRAMs and other multiple transistor structures
US6872640B1 (en) * 2004-03-16 2005-03-29 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100625175B1 (ko) * 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7009250B1 (en) 2004-08-20 2006-03-07 Micron Technology, Inc. FinFET device with reduced DIBL
US7679145B2 (en) * 2004-08-31 2010-03-16 Intel Corporation Transistor performance enhancement using engineered strains
KR100674914B1 (ko) * 2004-09-25 2007-01-26 삼성전자주식회사 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
TWI259585B (en) * 2005-03-21 2006-08-01 Powerchip Semiconductor Corp Split gate flash memory and manufacturing method thereof
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7532501B2 (en) * 2005-06-02 2009-05-12 International Business Machines Corporation Semiconductor device including back-gated transistors and method of fabricating the device
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7615806B2 (en) 2005-10-31 2009-11-10 Freescale Semiconductor, Inc. Method for forming a semiconductor structure and structure thereof
US7575975B2 (en) * 2005-10-31 2009-08-18 Freescale Semiconductor, Inc. Method for forming a planar and vertical semiconductor structure having a strained semiconductor layer
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
DE102005059231B4 (de) * 2005-12-12 2011-01-13 Infineon Technologies Ag Verfahren zum Herstellen eines Verbindungshalbleiter-Feldeffekttransistors mit einer Fin-Struktur und Verbindungshalbleiter-Feldeffekttransistor mit einer Fin-Struktur
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US7449373B2 (en) * 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US20090073746A1 (en) * 2006-04-24 2009-03-19 Nxp B.V. Static random access memory cell
US7579897B2 (en) * 2006-04-28 2009-08-25 International Business Machines Corporation Design structure for implementing oxide leakage based voltage divider network for integrated circuit devices
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
US7646071B2 (en) * 2006-05-31 2010-01-12 Intel Corporation Asymmetric channel doping for improved memory operation for floating body cell (FBC) memory
KR100745885B1 (ko) * 2006-07-28 2007-08-02 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7537994B2 (en) * 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
FR2911004B1 (fr) * 2006-12-28 2009-05-15 Commissariat Energie Atomique Procede de realisation de transistors a double-grille asymetriques permettant la realisation de transistors a double-grille asymetriques et symetriques sur un meme substrat
KR100823874B1 (ko) * 2006-12-28 2008-04-21 경북대학교 산학협력단 낮은 누설전류를 갖는 고밀도 fin 전계효과트랜지스터및 그 제조 방법
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7859081B2 (en) * 2007-03-29 2010-12-28 Intel Corporation Capacitor, method of increasing a capacitance area of same, and system containing same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
EP1993136A1 (en) * 2007-05-14 2008-11-19 Interuniversitair Microelektronica Centrum (IMEC) Multi-gate MOSFET device and method of manufacturing same
US7696040B2 (en) * 2007-05-30 2010-04-13 International Business Machines Corporation Method for fabrication of fin memory structure
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
KR101093588B1 (ko) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
US7710765B2 (en) * 2007-09-27 2010-05-04 Micron Technology, Inc. Back gated SRAM cell
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
CN101960570A (zh) * 2008-02-26 2011-01-26 Nxp股份有限公司 制造半导体器件的方法和半导体器件
US8420460B2 (en) 2008-03-26 2013-04-16 International Business Machines Corporation Method, structure and design structure for customizing history effects of SOI circuits
US8410554B2 (en) * 2008-03-26 2013-04-02 International Business Machines Corporation Method, structure and design structure for customizing history effects of SOI circuits
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101505494B1 (ko) * 2008-04-30 2015-03-24 한양대학교 산학협력단 무 커패시터 메모리 소자
KR100968151B1 (ko) * 2008-05-06 2010-07-06 주식회사 하이닉스반도체 핀 구조의 채널을 갖는 반도체 소자 및 그 제조방법
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US7902000B2 (en) * 2008-06-04 2011-03-08 International Business Machines Corporation MugFET with stub source and drain regions
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
SG10201608214SA (en) 2008-07-16 2016-11-29 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US7723178B2 (en) * 2008-07-18 2010-05-25 International Business Machines Corporation Shallow and deep trench isolation structures in semiconductor integrated circuits
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
EP2528087B1 (en) 2008-09-19 2016-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8361871B2 (en) * 2008-12-24 2013-01-29 Intel Corporation Trigate static random-access memory with independent source and drain engineering, and devices made therefrom
DE102008063427B4 (de) * 2008-12-31 2013-02-28 Advanced Micro Devices, Inc. Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
WO2010114956A1 (en) 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8362568B2 (en) * 2009-08-28 2013-01-29 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US8796777B2 (en) 2009-09-02 2014-08-05 Qualcomm Incorporated Fin-type device system and method
US8178400B2 (en) 2009-09-28 2012-05-15 International Business Machines Corporation Replacement spacer for tunnel FETs
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8536023B2 (en) * 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
CN102074582B (zh) * 2009-11-20 2013-06-12 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
US8263477B2 (en) * 2010-01-08 2012-09-11 International Business Machines Corporation Structure for use in fabrication of PiN heterojunction TFET
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8258031B2 (en) 2010-06-15 2012-09-04 International Business Machines Corporation Fabrication of a vertical heterojunction tunnel-FET
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
DE102010038742B4 (de) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP5325932B2 (ja) * 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
CN102903750B (zh) * 2011-07-27 2015-11-25 中国科学院微电子研究所 一种半导体场效应晶体管结构及其制备方法
US8841701B2 (en) * 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
CN102956700B (zh) * 2011-08-30 2015-06-24 中国科学院微电子研究所 一种半导体结构及其制造方法
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
TWI571936B (zh) * 2011-10-26 2017-02-21 聯華電子股份有限公司 具有鰭狀結構之場效電晶體的結構及其製作方法
US9029956B2 (en) 2011-10-26 2015-05-12 Global Foundries, Inc. SRAM cell with individual electrical device threshold control
US9048136B2 (en) 2011-10-26 2015-06-02 GlobalFoundries, Inc. SRAM cell with individual electrical device threshold control
CN103137685B (zh) * 2011-11-24 2015-09-30 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN103137671B (zh) * 2011-12-02 2015-06-24 中芯国际集成电路制造(上海)有限公司 多栅极场效应晶体管及其制作方法
SG11201404024YA (en) * 2012-01-13 2014-08-28 Tela Innovations Inc Circuits with linear finfet structures
US8587068B2 (en) * 2012-01-26 2013-11-19 International Business Machines Corporation SRAM with hybrid FinFET and planar transistors
US8809178B2 (en) 2012-02-29 2014-08-19 Globalfoundries Inc. Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8669147B2 (en) 2012-06-11 2014-03-11 Globalfoundries Inc. Methods of forming high mobility fin channels on three dimensional semiconductor devices
CN103515420B (zh) * 2012-06-26 2016-06-29 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US8847281B2 (en) * 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9917018B2 (en) * 2012-12-04 2018-03-13 Synopsys, Inc. Method and apparatus with channel stop doped devices
EP2741337B1 (en) 2012-12-07 2018-04-11 IMEC vzw Semiconductor heterostructure field effect transistor and method for making thereof
KR101402697B1 (ko) * 2012-12-11 2014-06-03 한국과학기술원 독립적 및 대칭적인 이중 게이트 구조를 이용한 전자-정공 이중층 터널 전계 효과 트랜지스터 및 그 제조 방법
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
KR101368191B1 (ko) 2013-01-03 2014-02-28 한국과학기술원 수직 구조를 갖는 독립적 및 대칭적인 이중 게이트 구조를 이용한 전자-정공 이중층 터널 전계 효과 트랜지스터 및 그 제조 방법
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
JP6251604B2 (ja) * 2013-03-11 2017-12-20 ルネサスエレクトロニクス株式会社 フィンfet構造を有する半導体装置及びその製造方法
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
KR102038486B1 (ko) 2013-04-09 2019-10-30 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US9337109B2 (en) 2013-05-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-threshold voltage FETs
US8895395B1 (en) 2013-06-06 2014-11-25 International Business Machines Corporation Reduced resistance SiGe FinFET devices and method of forming same
US9293466B2 (en) * 2013-06-19 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM and methods of forming the same
CN104347408B (zh) * 2013-07-31 2017-12-26 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
US9209094B2 (en) 2013-07-29 2015-12-08 Globalfoundries Inc. Fin field effect transistor with dielectric isolation and anchored stressor elements
CN104347407B (zh) * 2013-07-31 2017-10-31 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9396931B2 (en) * 2013-08-01 2016-07-19 Qualcomm Incorporated Method of forming fins from different materials on a substrate
US9224866B2 (en) 2013-08-27 2015-12-29 Globalfoundries Inc. Suspended body field effect transistor
US9070770B2 (en) * 2013-08-27 2015-06-30 International Business Machines Corporation Low interfacial defect field effect transistor
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US9214513B2 (en) * 2014-02-13 2015-12-15 Taiwan Semiconductor Manufacturing Company Limited Fin structure and method for forming the same
KR102094535B1 (ko) 2014-03-21 2020-03-30 삼성전자주식회사 트랜지스터 및 그 제조 방법
US9466669B2 (en) 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
US9425275B2 (en) * 2014-06-13 2016-08-23 Samsung Electronics Co., Ltd. Integrated circuit chips having field effect transistors with different gate designs
US9219150B1 (en) 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9165945B1 (en) * 2014-09-18 2015-10-20 Soitec Method for fabricating semiconductor structures including transistor channels having different strain states, and related semiconductor structures
US9209301B1 (en) 2014-09-18 2015-12-08 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
CN105552124B (zh) * 2014-10-30 2018-11-16 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
US9455274B2 (en) 2015-01-30 2016-09-27 International Business Machines Corporation Replacement fin process in SSOI wafer
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
CN106252228B (zh) * 2015-06-11 2019-11-08 中国科学院微电子研究所 一种复合鳍的形成方法
US10374042B2 (en) * 2015-08-31 2019-08-06 International Business Machines Corporation Semiconductor device including epitaxially formed buried channel region
US9362383B1 (en) 2015-09-17 2016-06-07 International Business Machines Corporation Highly scaled tunnel FET with tight pitch and method to fabricate same
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9496260B1 (en) * 2015-12-09 2016-11-15 International Business Machines Corporation Tall strained high percentage silicon germanium fins for CMOS
US9634009B1 (en) 2015-12-18 2017-04-25 International Business Machines Corporation System and method for source-drain extension in FinFETs
US9973148B2 (en) 2016-08-08 2018-05-15 Skyworks Solutions, Inc. Radio frequency system with switch to receive envelope
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11024369B1 (en) 2019-11-18 2021-06-01 International Business Machines Corporation Static random-access memory cell design

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1218994A (zh) * 1997-12-03 1999-06-09 松下电器产业株式会社 半导体装置
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
CN1685523A (zh) * 2002-09-30 2005-10-19 先进微装置公司 具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
JP2001358233A (ja) * 2000-06-15 2001-12-26 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100467527B1 (ko) * 2001-06-21 2005-01-24 재단법인서울대학교산학협력재단 이중 게이트 mosfet 및 그 제조방법
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6787864B2 (en) * 2002-09-30 2004-09-07 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6765303B1 (en) * 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1218994A (zh) * 1997-12-03 1999-06-09 松下电器产业株式会社 半导体装置
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
CN1685523A (zh) * 2002-09-30 2005-10-19 先进微装置公司 具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法

Also Published As

Publication number Publication date
TWI281248B (en) 2007-05-11
US20080105898A1 (en) 2008-05-08
CN1826690A (zh) 2006-08-30
US6921982B2 (en) 2005-07-26
US20070111406A1 (en) 2007-05-17
EP1652235A2 (en) 2006-05-03
WO2005010944A3 (en) 2005-08-11
KR100773009B1 (ko) 2007-11-05
US20080105900A1 (en) 2008-05-08
TW200516762A (en) 2005-05-16
US20050218427A1 (en) 2005-10-06
US20050017377A1 (en) 2005-01-27
KR20060035746A (ko) 2006-04-26
WO2005010944A2 (en) 2005-02-03
EP1652235A4 (en) 2008-09-17
US7198990B2 (en) 2007-04-03

Similar Documents

Publication Publication Date Title
CN100479158C (zh) 沿多个表面具有应变晶格结构的fet沟道
US7176067B2 (en) Methods of fabricating fin field effect transistors
US7235468B1 (en) FinFET device with reduced DIBL
KR101398497B1 (ko) 반도체 장치 및 반도체 장치의 제조 방법
CN102214684B (zh) 一种具有悬空源漏的半导体结构及其形成方法
JP2005012214A (ja) 超スケーラブルな高速ヘテロ接合垂直nチャネルmisfetおよびその方法
JPH11135512A (ja) 電力用半導体装置及びその製造方法
US20060208342A1 (en) Silicon-on-nothing metal oxide semiconductor field effect transistor and method of manufacturing the same
US20150001623A1 (en) Field effect transistor and method for forming the same
WO2014205907A1 (en) Field effect transistor and method for forming the same
CN107924941B (zh) 隧穿场效应晶体管及其制备方法
CN111834461A (zh) 晶体管结构
CN102214682B (zh) 具有悬空源漏的半导体结构及其形成方法
CN103700631A (zh) 无结mos fet器件的制备方法
Liu et al. An ultrathin vertical channel MOSFET for sub-100-nm applications
CN110176402A (zh) 一种fdsoi pmos浅掺杂离子注入方法
CN102214683B (zh) 具有悬空源漏的半导体结构及其形成方法
JP5045686B2 (ja) 半導体装置の製造方法
US20120305986A1 (en) Semiconductor structure and method for forming the same
CN111403285B (zh) 鳍式场效晶体管应力工程优化及其的制作方法
CN116705796A (zh) 一种纵向堆叠的栅极自对准hvtfet集成电路结构
TW202306119A (zh) 半導體結構及其製造方法
KR20090113001A (ko) Soi 소자 및 그의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: MICROSOFT CORP.

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORP.

Effective date: 20120418

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20120418

Address after: Washington State

Patentee after: Microsoft Corp.

Address before: American New York

Patentee before: International Business Machines Corp.

ASS Succession or assignment of patent right

Owner name: MICROSOFT TECHNOLOGY LICENSING LLC

Free format text: FORMER OWNER: MICROSOFT CORP.

Effective date: 20150429

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150429

Address after: Washington State

Patentee after: Micro soft technique license Co., Ltd

Address before: Washington State

Patentee before: Microsoft Corp.