CN100413039C - 形成FinFET装置中的栅极以及薄化该FinFET装置的沟道区中的鳍的方法 - Google Patents

形成FinFET装置中的栅极以及薄化该FinFET装置的沟道区中的鳍的方法 Download PDF

Info

Publication number
CN100413039C
CN100413039C CNB2004800093091A CN200480009309A CN100413039C CN 100413039 C CN100413039 C CN 100413039C CN B2004800093091 A CNB2004800093091 A CN B2004800093091A CN 200480009309 A CN200480009309 A CN 200480009309A CN 100413039 C CN100413039 C CN 100413039C
Authority
CN
China
Prior art keywords
fin
grid
layer
dielectric layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB2004800093091A
Other languages
English (en)
Other versions
CN1771589A (zh
Inventor
俞斌
汪海宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1771589A publication Critical patent/CN1771589A/zh
Application granted granted Critical
Publication of CN100413039C publication Critical patent/CN100413039C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate

Abstract

一种制备FinFET装置(100)的方法包括在绝缘层(120)上形成鳍结构(210)。该鳍结构(210)包括导电鳍。该方法还包括形成源极/漏极区(220/230)并在鳍(210)上形成虚拟栅极(300)。可去除该虚拟栅极(300),并可减小沟道区中的鳍(210)的宽度。该方法进一步包括沉积金属材料(1010)以取代所去除的虚拟栅极(300)。

Description

形成FinFET装置中的栅极以及薄化该FinFET装置的沟道区中的鳍的方法
技术领域
本发明涉及半导体装置及制备半导体装置的方法。本发明特别应用于双栅极装置(double-gate devices)。
背景技术
对超大规模集成半导体装置的高密度及性能的不断需求要求诸如栅极长度的设计特征小于100纳米(nm),要求高可靠性及提高的生产率。将设计特征减小至100nm以下向传统的方法论提出了挑战。
例如,当传统的平面型金属氧化物半导体场效应晶体管(MOSFETs)的栅极长度按比例减小至100nm以下时,与短沟道效应有关的问题,诸如源极及漏极间的过量漏电(excessive leakage),变得越来越难克服。此外,迁移率降低及许多过程方面的问题也使得难以将传统MOSFETs按比例减小以包含不断减小的装置特征。因此正在研发新型装置结构以改善FET性能并容许进一步按比例减小装置。
双栅极MOSFETs代表新的结构,该新的结构被认为是取代目前平面型MOSFETs的候选者。在双栅极MOSFETs内,可用两个栅极来控制短沟道效应。FinFET是近来新的双栅极结构,其展示出良好的短沟道行为。FinFET包括形成在垂直鳍(fin)内的沟道。可用类似于传统平面型MOSFETs的布线(layout)和过程技术来制备FinFET结构。
发明内容
与本发明相一致的实施例提供了形成FinFET装置中的栅极以及薄化(thinning)FinFET装置中的鳍的方法。可在沟道区中薄化鳍以减小在FinFET装置的那个区域中的鳍的宽度。
本发明的额外优点及其它特征将在如下的描述中部分地提及,对本领域普通技术人员在阅读下述之后部分地会显而易见或可从本发明的实践中学习到。可尤其如随附的权利要求所指出的那样来实现并获得本发明的优点及特征。
根据本发明,通过形成FinFET装置中的栅极的方法,可部分获得前述优点及其它优点。该方法包括在绝缘体上硅(SOI)晶片上沉积第一介电层,其中该SOI晶片包括位于绝缘层上的硅层。该方法还包括在第一介电层的一部分上形成抗蚀剂掩模(resist mask),蚀刻第一介电层和硅层未被抗蚀剂掩模所覆盖的部分,以形成鳍和覆盖鳍的上表面的介电盖(dielectric cap)。该方法进一步包括在介电盖上沉积栅极层,在栅极层上沉积第二介电层,蚀刻栅极层和第二介电层以形成栅极结构,形成邻接栅极结构的侧壁隔离物(sidewall spacers)并在栅极结构和侧壁隔离物上形成第三介电层。该方法还包括平坦化(planarizing)第三介电层以暴露出第二介电层的上表面,去除栅极结构中的第二介电层和栅极层,蚀刻鳍以减小半导体装置的沟道区中的鳍的宽度并沉积栅极材料以取代所去除的栅极层。
根据本发明的另一方面,提出了一种制备半导体装置的方法。该方法包括在绝缘层上形成鳍结构,其中,该鳍结构包括导电鳍(conductive fin)。该方法还包括形成源极及漏极区,在鳍结构上形成栅极并去除栅极以形成凹部区域(recessed area)。该方法进一步包括薄化半导体装置的沟道区中的鳍的宽度并在凹部区域内沉积金属。
通过如下详细描述,本发明的其它优点及特征对于本领域的技术人员将是显而易见的。所示及所描述的实施例示意了被预期是实施本发明的最佳模式。可在各种明显的方面修改本发明,而都不背离本发明。因此,附图在本质上仅被认为是示意的,而并非限定的。
附图说明
参考附图,其中,具有同一参考数字指示的组件可表示全文中相同的组件。
图1是示意可用于根据本发明的实施例来形成鳍的示例性层的剖面图。
图2A是示意根据本发明的示例性实施例来形成鳍的剖面图。
图2B示意了根据本发明的示例性实施例的图2A中的半导体装置的俯视图。
图3A是示意根据本发明的示例性实施例来形成栅极结构的俯视图。
图3B是示意根据本发明的示例性实施例来形成图3A中栅极的剖面图。
图4是示意根据本发明的示例性实施例来形成邻接栅极结构的侧壁隔离物的剖面图。
图5A和图5B是示意根据本发明的示例性实施例在图4的装置上形成金属-硅化物化合物的剖面图。
图6是示意根据本发明的示例性实施例在图5的装置上形成介电层的剖面图。
图7A是示意根据本发明的示例性实施例在图6的装置上平坦化介电层的剖面图。
图7B示意了根据本发明的示例性实施例去除一部分虚拟栅极(dummy gate)结构的剖面图。
图8示意了根据本发明的示例性实施例去除另一部分虚拟栅极结构的剖面图。
图9是示意根据本发明的示例性实施例来薄化沟道区中的鳍的剖面图。
图10A是示意根据本发明的示例性实施例来形成栅极的剖面图。
图10B是示意根据本发明的示例性实施例的图10A所示半导体装置的俯视图。
图11A-11D是示意根据本发明的另一个实施例来形成全方位栅极(gate-all-around)结构的剖面图。
具体实施方式
参考附图对本发明作如下的详细描述。不同附图中相同的参考数字代表相同或相似的组件。如下的详细描述也并非限制本发明。而是,本发明的范围由随附的权利要求及其等价物而限定。
与本发明相一致的实施例提供了制备FinFET装置的方法。在一个实施例中,可在FinFET装置的栅极区内形成虚拟栅极。可去除该虚拟栅极且可蚀刻该鳍以减小FinFET装置的沟道区中的鳍的宽度。接着,可沉积导电材料以形成栅极。
图1示意了根据本发明实施例所形成的半导体装置100的剖面图。参考图1,半导体装置100可包括绝缘体上硅(SOI)结构,该绝缘体上硅结构包括硅基片110、掩埋氧化物层(buried oxide layer)120和掩埋氧化物层120上的硅层130。可用传统方式在基片110上形成掩埋氧化物层120和硅层130。
在一个示例性的实施例中,掩埋氧化物层120可包括诸如SiO2的硅氧化物(silicon oxide),并且可具有在约
Figure C20048000930900071
至约
Figure C20048000930900072
范围内的厚度。硅层130可包括厚度在约
Figure C20048000930900073
Figure C20048000930900074
范围内的单晶硅或多晶硅。硅层130用于形成FinFET晶体管装置的鳍,如以下更详细描述的那样。
在与本发明相一致的其它实施例中,基片110和层130可包含诸如锗的其它半导体材料或诸如硅-锗的半导体材料组合。掩埋氧化物层120也可包括其它的介电材料。
可在硅层130上形成诸如硅氮化物(silicon nitride)层或硅氧化物层的介电层140,以在后续蚀刻过程中作为保护盖。在一个示例性的实施例中,介电层140所沉积的厚度可在约
Figure C20048000930900075
至约的范围内。接着,可沉积并图案化(patterned)光刻胶层,以形成用于后续加工的光刻胶掩模(photoresist mask)150。可用传统的方式来沉积并图案化光刻胶层。
然后可蚀刻半导体装置100。在一个示例性的实施例中,可用传统的方式来蚀刻硅层130,蚀刻终止在掩埋氧化物层120,如图2A所示。参考图2A,介质层140和硅层130已被蚀刻以形成鳍210,该鳍210包含硅并具有介电盖140。
鳍210形成后,可邻接鳍210的各自两端形成源极区及漏极区。例如,在一个示例性的实施例中,可用传统的方法沉积、图案化并蚀刻硅层、锗层或硅锗组合以形成源极区及漏极区。图2B示意了包括源极区220及漏极区230的半导体装置100的俯视图,该源极区220及漏极区230依照本发明的示例性实施例形成在掩埋氧化物层120上并邻接鳍210。将图2B中的俯视图定向,以使得图2A是沿图2B的线AA的剖面图。为简单起见,图2B中未示意光刻胶掩模150。
可去除光刻胶掩模150并可在半导体装置100上形成栅极结构。最初形成在半导体装置100上的栅极结构可被称为“虚拟栅极”,因为后来可去除该栅极形成,如以下更详细说明的那样。在一个示例性的实施例中,栅极层和保护介电层可沉积在鳍210和介电盖140上,并被蚀刻以形成虚拟栅极结构。图3A是示意虚拟栅极300的俯视图。图3B是形成虚拟栅极300之后半导体装置100沿图3A的线BB的剖面图。参考图3B,虚拟栅极300在该半导体装置100的沟道区中可包括多晶硅层或非晶硅层310,并且在半导体装置100的沟道区中可具有在约
Figure C20048000930900081
至约
Figure C20048000930900082
范围内的厚度及在约
Figure C20048000930900083
至约
Figure C20048000930900084
范围内的宽度。虚拟栅极300也可包括介电层320,该介电层320包含例如硅氮化物并且具有在约
Figure C20048000930900085
至约
Figure C20048000930900086
范围内的厚度。介电层320作为硅层310的保护盖。
接着,沉积并蚀刻介电层以形成隔离物410,该隔离物410邻接虚拟栅极300的相对两侧,如图4所示。隔离物410可包含硅氧化物(例如,SiO2)或另一种介电材料。在一个示例性的实施例中,隔离物410的宽度可在约
Figure C20048000930900087
至约
Figure C20048000930900088
的范围内变化。隔离物410可在后续加工期间保护下面的鳍210并使得源极/漏极区220及230的掺杂变得容易。
如图5A所示,可在源极/漏极区220及230上沉积金属层510。在一个示例性的实施例中,金属层510可包括镍(nickel)、钴(cobalt)或其它金属,并且所沉积的厚度可在约
Figure C20048000930900089
至约
Figure C200480009309000810
的范围内。如图5B所示,然后可进行热退火以形成金属-硅化物层520。在退火期间,金属可与源极/漏极区220及230内的硅发生反应而形成金属-硅化物化合物,基于所沉积的特定的金属层510,诸如可形成NiSi或CoSi2
接着,可在半导体装置100上沉积介电层610。在一个示例性的实施例中,介电层610可包括原硅酸四乙酯(tetraethyl orthosilicate,TEOS)化合物,并且所沉积的厚度可在约
Figure C200480009309000811
的范围内。在其它实施例中,可使用其它的介电材料。接着,将介电层610平坦化。例如,可进行化学机械抛光(CMP),以将介电层610平坦化至介电盖320的上表面并暴露出介电盖320的上表面,如图7A所示。接着,可用例如湿蚀刻方法来去除介电盖320,如图7B所示。在一个示例性的实施例中,湿蚀刻可用诸如H3PO4的酸来去除介电盖320。在去除介电盖320的蚀刻过程中,也去除了隔离物410和介电层610的上部分,使得硅层310的上表面与隔离物410和介电层610的上表面基本上平齐(substantially planar),如图7B所示。
如图8所示,接着可去除硅层310。例如,可用相对于多晶硅具有高蚀刻选择性的反应物来蚀刻硅层310。这使得能去除硅材料310而不去除任何周围介电层的显著部分,诸如隔离物410和介电层140的显著部分。在去除硅层310后,形成栅极开口或凹部810,如图8所示。换句话说,在周围介电层610中可产生栅极形状空间,被称为栅极凹部810。
在形成栅极凹部810后,在半导体装置100的沟道区中可暴露出硅鳍的侧表面。接着可蚀刻鳍210以减小沟道区中的鳍210的宽度。例如,可进行湿蚀刻过程以减小沟道区中的鳍210的宽度。鳍210不在沟道区和源极/漏极区220及230内的部分被介电层610所覆盖,当对鳍210所期望的部分薄化时,这防止了半导体装置100的那些部分被蚀刻。
图9示意了蚀刻后的半导体装置100的俯视图。参考图9,虚线示意沟道区中的鳍的薄化部分。在一个示例性的实施例中,由于蚀刻的结果,鳍210的整体宽度可减小约20纳米(nm)至100nm。在本发明的一个示例性实施例中,在蚀刻后,沟道区内的鳍210的宽度,在图9中以W标示,可在约
Figure C20048000930900091
的范围内。应了解,鳍210的宽度可依照特定装置要求及诸如栅极长度的其它参数而定。图9中的区域810示意了去除虚拟栅极300后的栅极凹部。为简单起见,图9中未显示介电层610和侧壁隔离物410。
薄化沟道区中的鳍210的宽度有利于半导体装置100能获得良好的短沟道控制。例如,在一些实施例中,可能期望鳍210的宽度小于栅极长度,诸如小于栅极长度的一半。用传统的光刻技术很难达到这样的参数。换句话说,如上面图1及2A所述形成鳍210使得难以获得所期望的小宽度的硅鳍。本发明用上述方式形成鳍210和虚拟栅极300,接着去除虚拟栅极并薄化鳍。这导致了所期望的狭窄的鳍,同时避免了与试图仅用光刻技术来获得这样的薄鳍有关的加工难度。
此外,由于可用湿蚀刻过程来薄化鳍210,所以鳍210的侧表面可比那些仅用光刻所获得的鳍的侧表面更光滑且更均匀。鳍210的这些更光滑的侧表面可提高半导体装置100的垂直方向沟道的载流子迁移率。
接着可沉积金属层1010以填充栅极凹部810,如图10A所示。图10A是沿图9的线CC的剖面图。金属材料可包含钨(W)、钽(Ta)、钛(Ti)、镍(Ni)、TaSiN、TaN或其它金属,并且所沉积的厚度可在约
Figure C20048000930900101
至约的范围内。诸如硅或锗的半导体材料也可作为栅极材料。如图10A所述,可抛光金属层1010使得金属与隔离物410的上表面基本上平齐。图10A中的虚线示意鳍210的沟道区。
图10B示意了已沉积并平坦化栅极材料1010之后与本发明相一致的半导体装置100的俯视图。如图所示,半导体装置100包括双栅极结构,鳍210的任一侧都沉积有栅极1010。图10B中的阴影区域代表形成在源极/漏极区220及230上的金属-硅化物层520。栅极1010可包括形成在栅极1010一端的栅极电极或接触(contact),在图10B中示意为栅极电极1012。此外,第二栅极电极/接触可形成在栅极1010的相对一端。
然后可掺杂源极/漏极区220及230。例如,可在源极/漏极区220及230中注入n-型或p-型杂质。可根据特定的目标装置要求而选择特定的注入剂量和能量。本领域的普通技术人员可根据电路要求而将源极/漏极的注入过程最优化,为了不过度地模糊本发明的重点,这里并未揭露这样的步骤。通过防护鳍210在沟道区内的部分不被杂质注入,侧壁隔离物410可帮助控制源极/漏极结(source/drainjunctions)的位置。然后可进行活化退火(activation annealing)以活化源极/漏极区220及230。
图10B中所得到的半导体装置100是双栅极装置,栅极1010延伸在鳍210上。在与本发明相一致的一些实施例中,可通过例如化学机械抛光(CMP)来平坦化图10A所示的半导体装置100,以去除栅极层1010在鳍210上的部分。在该实施例中,在鳍210的任一侧都可形成电分离及物理分离的栅极。在半导体装置100作用期间,可分别给这样的栅极施加偏压。
因此,根据本发明形成了双栅极FinFET装置,在FinFET装置的沟道区中具有薄鳍。所得到的结构显示出良好的短沟道行为。此外,金属栅极降低了栅极电阻并避免了与多晶硅栅极有关的多晶硅耗尽(poly depletion)问题。也可很容易地将本发明结合到传统的半导体制备加工中。
其它示例性实施例
在本发明的其它实施例中,可形成全方位栅极MOSFET。例如,图11A示意了包括掩埋氧化物层1110的FinFET装置1100的剖面图,该掩埋氧化物层1110形成在基片(未显示)上并且其上形成有鳍1120。如图11B所示,可进行干蚀刻过程以蚀刻掩埋氧化物层1110的一部分。在蚀刻期间,可去除位于鳍1120下方的一部分掩埋氧化层1110。换句话说,该蚀刻可横向底切位于鳍1120下方的一部分掩埋氧化物层1110,如图11B的区域1130所示。
如图11C所示,然后可进行诸如湿蚀刻的第二蚀刻,以蚀刻掩埋氧化物层1110位于鳍1120下方的剩余部分。该湿蚀刻可横向底切(laterally undercut)掩埋氧化物层1110位于鳍1120下方的部分,使鳍1120在沟道区中有效地悬于掩埋氧化物层1110之上。但是,鳍1120仍连接到形成在掩埋氧化物层1110上的鳍1120的其它部分,并连接到源极及漏极区(未显示)。
如图11D所示,然后可在鳍1120的暴露表面上形成栅极氧化物层1140。接着可在鳍1120上沉积栅极层1150,如图11D所示。栅极层1150可在半导体装置1100的沟道区中围绕鳍1120。所得到的半导体装置1100是全方位栅极FinFET,在半导体装置1100的沟道区中栅极材料围绕着鳍。
为了提供对本发明的全面了解,在前述描述中阐述了众多的特定细节,诸如特定的材料、结构、化学品及过程等。然而,可以不采用这里所提出的特定细节而实施本发明。在其它的例子中,为了不没必要地模糊本发明的重点,并未详细描述众所周知的加工结构。
可用传统的沉积技术来沉积用于根据本发明制备半导体装置的介电层和导电层。例如,可采用金属化技术(metallization techniques),诸如各种类型的CVD过程,包括低压CVD(LPCVD)和增强的CVD(ECVD)。
本发明适用于制备双栅极半导体装置,尤其适用于设计特征等于100nm及小于100nm的FinFET装置。本发明适用于形成任何不同类型的半导体装置,因此,为了避免模糊本发明的重点,并没有阐明细节。在实施本发明时,采用了传统的光刻和蚀刻技术,因此,在此没有详细说明这些技术的细节。此外,尽管描述了用于形成图10B的半导体装置的一系列过程,但是应了解,在与本发明相一致的其它实施例中可改变这些过程的次序。
此外,在本申请的描述中并无组件、动作或指示应被视为对本发明是关键的或基本的,除非明确地如此说明了。并且,这里所使用的冠词“一(a)”意在包括一个或更多的组件。在仅意指一个组件的地方,词语“一个(one)”或类似的语言被使用。
在本公开中仅显示并描述了本发明的优选实施例及其多功能性的几个例子。应了解,本发明可用在许多其它的结合及环境中,并且能在这里所表示的发明概念的范围内修改本发明。

Claims (9)

1. 一种在FinFET装置(100)中形成栅极的方法,包括:
在绝缘体上硅晶片上沉积第一介电层(140),所述绝缘体上硅晶片包含绝缘层(120)上的硅层(130);
在所述第一介电层(140)的一部分上形成抗蚀剂掩模(150);
蚀刻第一介电层(140)和硅层(130)未被所述抗蚀剂掩模(150)所覆盖的部分,以形成鳍(210)和覆盖所述鳍(210)的上表面的介电盖(140);
在所述介电盖(140)上沉积栅极层(310);
在所述栅极层(310)上沉积第二介电层(320);
蚀刻所述栅极层(310)和第二介电层(320)以形成栅极结构(300);
形成邻接所述栅极结构(300)的侧壁隔离物(410);
在所述FinFET装置(100)上形成第三介电层(610);
平坦化所述第三介电层(610)以暴露出所述第二介电层(320)的上表面;
去除所述栅极结构(300)内的第二介电层(320)和栅极层(310);
在去除了所述第二介电层(320)和栅极层(310)之后蚀刻所述鳍(210),以减小所述FinFET装置(100)的沟道区中的鳍(210)的宽度;以及
沉积栅极材料(1010)以取代所去除的栅极层(310)。
2. 如权利要求1所述的方法,进一步包括:
在所述绝缘层(120)上邻接所述鳍(210)的第一端形成源极区(220);
在所述绝缘层(120)上邻接所述鳍(210)的第二端形成漏极区(230)。
3. 如权利要求2所述的方法,进一步包括:
在所述源极区及漏极区(220/230)上沉积金属(510);以及
将所述FinFET装置(100)退火,以在所述源极区及漏极区(220/230)上形成金属硅化物化合物(520)。
4. 如权利要求1至3的任一个所述的方法,其中去除栅极层(310)包括用蚀刻化学剂来蚀刻所述栅极层(310),所述蚀刻化学剂相对于所述第一介电层(140)和所述侧壁隔离物(410)而对所述栅极层(310)具有高蚀刻选择性。
5. 如权利要求1至3的任一个所述的方法,其中,蚀刻鳍(210)包括湿蚀刻所述鳍(210)。
6. 如权利要求1至3的任一个所述的方法,其中,蚀刻鳍(210)将沟道区中的鳍(210)的宽度减小20nm至100nm。
7. 如权利要求1至3的任一个所述的方法,其中,沉积所述栅极材料(1010)包括沉积W、Ti、Ni、Ta、TaN和TaSiN中的至少一种。
8. 如权利要求1至3的任一个所述的方法,进一步包括平坦化所述栅极材料(1010)以形成至少一个栅极电极(1012)。
9. 如权利要求1至3的任一个所述的方法,进一步包括平坦化栅极材料(1010)以使所述栅极材料(1010)与侧壁隔离物(410)的上表面平齐。
CNB2004800093091A 2003-04-03 2004-03-30 形成FinFET装置中的栅极以及薄化该FinFET装置的沟道区中的鳍的方法 Expired - Lifetime CN100413039C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/405,342 2003-04-03
US10/405,342 US6764884B1 (en) 2003-04-03 2003-04-03 Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device

Publications (2)

Publication Number Publication Date
CN1771589A CN1771589A (zh) 2006-05-10
CN100413039C true CN100413039C (zh) 2008-08-20

Family

ID=32681856

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800093091A Expired - Lifetime CN100413039C (zh) 2003-04-03 2004-03-30 形成FinFET装置中的栅极以及薄化该FinFET装置的沟道区中的鳍的方法

Country Status (8)

Country Link
US (1) US6764884B1 (zh)
JP (1) JP5409997B2 (zh)
KR (1) KR101079348B1 (zh)
CN (1) CN100413039C (zh)
DE (1) DE112004000578B4 (zh)
GB (1) GB2417134B (zh)
TW (1) TWI337392B (zh)
WO (1) WO2004093181A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013026213A1 (zh) * 2011-08-22 2013-02-28 中国科学院微电子研究所 半导体器件结构及其制作方法
US8492206B2 (en) 2011-08-22 2013-07-23 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device structure and method for manufacturing the same
CN104637818A (zh) * 2013-11-14 2015-05-20 国际商业机器公司 用于制造鳍片场效应晶体管器件的方法和鳍片场效应晶体管器件

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
KR100517559B1 (ko) * 2003-06-27 2005-09-28 삼성전자주식회사 핀 전계효과 트랜지스터 및 그의 핀 형성방법
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US6960804B1 (en) * 2003-08-04 2005-11-01 Hussman Corporation Semiconductor device having a gate structure surrounding a fin
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US6861317B1 (en) * 2003-09-17 2005-03-01 Chartered Semiconductor Manufacturing Ltd. Method of making direct contact on gate by using dielectric stop layer
US7863674B2 (en) * 2003-09-24 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US6995438B1 (en) * 2003-10-01 2006-02-07 Advanced Micro Devices, Inc. Semiconductor device with fully silicided source/drain and damascence metal gate
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US6967175B1 (en) 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7064022B1 (en) * 2003-12-08 2006-06-20 Advanced Micro Devices, Inc. Method of forming merged FET inverter/logic gate
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7624192B2 (en) * 2003-12-30 2009-11-24 Microsoft Corporation Framework for user interaction with multiple network devices
US7041542B2 (en) * 2004-01-12 2006-05-09 Advanced Micro Devices, Inc. Damascene tri-gate FinFET
US6936516B1 (en) * 2004-01-12 2005-08-30 Advanced Micro Devices, Inc. Replacement gate strained silicon finFET process
US7186599B2 (en) 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
KR100598099B1 (ko) * 2004-02-24 2006-07-07 삼성전자주식회사 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법
US6888181B1 (en) * 2004-03-18 2005-05-03 United Microelectronics Corp. Triple gate device having strained-silicon channel
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7122412B2 (en) * 2004-04-30 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a necked FINFET device
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US7319252B2 (en) * 2004-06-28 2008-01-15 Intel Corporation Methods for forming semiconductor wires and resulting devices
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7071064B2 (en) * 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7422946B2 (en) * 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
KR100678456B1 (ko) 2004-12-03 2007-02-02 삼성전자주식회사 리세스드 채널을 갖는 핀구조의 모스 트랜지스터 및 그제조방법
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060197129A1 (en) * 2005-03-03 2006-09-07 Triquint Semiconductor, Inc. Buried and bulk channel finFET and method of making the same
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7411252B2 (en) * 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
KR100668511B1 (ko) 2005-12-27 2007-01-12 주식회사 하이닉스반도체 핀 트랜지스터 및 그 제조 방법
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
JP4552908B2 (ja) * 2006-07-26 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
EP2049436B1 (en) * 2006-08-11 2012-10-17 Agency for Science, Technology and Research Nanowire sensor, nanowire sensor array and method of fabricating the same
JP2008117838A (ja) * 2006-11-01 2008-05-22 Elpida Memory Inc 半導体装置及びその製造方法
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US8362561B2 (en) * 2006-12-15 2013-01-29 Nxp B.V. Transistor device and method of manufacturing such a transistor device
US7691690B2 (en) * 2007-01-12 2010-04-06 International Business Machines Corporation Methods for forming dual fully silicided gates over fins of FinFet devices
JP2008172082A (ja) * 2007-01-12 2008-07-24 Toshiba Corp 半導体装置及び半導体装置の製造方法
US7960234B2 (en) * 2007-03-22 2011-06-14 Texas Instruments Incorporated Multiple-gate MOSFET device and associated manufacturing methods
US7923337B2 (en) * 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US9484435B2 (en) * 2007-12-19 2016-11-01 Texas Instruments Incorporated MOS transistor with varying channel width
US8022487B2 (en) * 2008-04-29 2011-09-20 Intel Corporation Increasing body dopant uniformity in multi-gate transistor devices
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP5391688B2 (ja) * 2008-12-26 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法と半導体装置
US8609495B2 (en) * 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8889494B2 (en) 2010-12-29 2014-11-18 Globalfoundries Singapore Pte. Ltd. Finfet
JP5325932B2 (ja) * 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
US9105506B2 (en) * 2011-06-21 2015-08-11 Etron Technology, Inc. Dynamic memory structure
CN103035517B (zh) * 2011-09-29 2017-07-04 联华电子股份有限公司 半导体制作工艺
CN103187290B (zh) * 2011-12-31 2015-10-21 中芯国际集成电路制造(北京)有限公司 鳍片式场效应晶体管及其制造方法
KR101876793B1 (ko) * 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US9252237B2 (en) 2012-05-09 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors, semiconductor devices, and methods of manufacture thereof
CN103681331B (zh) * 2012-09-10 2016-06-29 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN103811340B (zh) * 2012-11-09 2017-07-14 中国科学院微电子研究所 半导体器件及其制造方法
US8716094B1 (en) 2012-11-21 2014-05-06 Global Foundries Inc. FinFET formation using double patterning memorization
CN103839814B (zh) * 2012-11-21 2016-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US8890262B2 (en) 2012-11-29 2014-11-18 Globalfoundries Inc. Semiconductor device having a metal gate recess
CN103928332B (zh) * 2013-01-11 2016-08-31 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN103928334B (zh) 2013-01-15 2017-06-16 中国科学院微电子研究所 半导体器件及其制造方法
US8895444B2 (en) * 2013-03-13 2014-11-25 Globalfoundries Inc. Hard mask removal during FinFET formation
JP2014220387A (ja) * 2013-05-08 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法
US9263554B2 (en) 2013-06-04 2016-02-16 International Business Machines Corporation Localized fin width scaling using a hydrogen anneal
CN105612618B (zh) 2013-09-27 2019-07-23 英特尔公司 用于嵌入式动态随机存取存储器(eDRAM)的低泄漏非平面存取晶体管
CN104576380B (zh) * 2013-10-13 2017-09-15 中国科学院微电子研究所 一种finfet制造方法
CN104576386B (zh) * 2013-10-14 2018-01-12 中国科学院微电子研究所 一种FinFET及其制造方法
CN104576385A (zh) * 2013-10-14 2015-04-29 中国科学院微电子研究所 一种FinFET结构及其制造方法
KR20150058597A (ko) 2013-11-18 2015-05-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9711645B2 (en) 2013-12-26 2017-07-18 International Business Machines Corporation Method and structure for multigate FinFET device epi-extension junction control by hydrogen treatment
US9564445B2 (en) 2014-01-20 2017-02-07 International Business Machines Corporation Dummy gate structure for electrical isolation of a fin DRAM
US9773869B2 (en) 2014-03-12 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN105097524B (zh) * 2014-05-04 2018-11-16 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法和cmos晶体管的形成方法
KR102158962B1 (ko) 2014-05-08 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9112032B1 (en) * 2014-06-16 2015-08-18 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices
CN105336615B (zh) * 2014-07-08 2018-06-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
KR102197402B1 (ko) 2014-10-14 2020-12-31 삼성전자주식회사 반도체 장치 제조 방법
US20160181249A1 (en) * 2014-12-17 2016-06-23 International Business Machines Corporation Semiconductor structures with deep trench capacitor and methods of manufacture
CN107112359B (zh) * 2014-12-23 2022-08-09 英特尔公司 位于宽子鳍状物上的薄沟道区
US9679917B2 (en) 2014-12-23 2017-06-13 International Business Machines Corporation Semiconductor structures with deep trench capacitor and methods of manufacture
KR102274750B1 (ko) * 2015-01-27 2021-07-07 삼성전자주식회사 반도체 장치 제조 방법
US10164120B2 (en) 2015-05-28 2018-12-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US9627378B2 (en) * 2015-06-30 2017-04-18 International Business Machines Corporation Methods of forming FINFETs with locally thinned channels from fins having in-situ doped epitaxial cladding
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
US10707331B2 (en) 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
US10367086B2 (en) 2017-06-14 2019-07-30 Hrl Laboratories, Llc Lateral fin static induction transistor
US10276718B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
EP4220719A3 (en) 2017-11-30 2023-08-16 INTEL Corporation Fin patterning for advanced integrated circuit structure fabrication
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
US10559661B2 (en) 2017-12-01 2020-02-11 Nanya Technology Corporation Transistor device and semiconductor layout structure including asymmetrical channel region
US10879125B2 (en) * 2018-12-27 2020-12-29 Nanya Technology Corporation FinFET structure and method of manufacturing the same
US11569375B2 (en) 2020-04-17 2023-01-31 Hrl Laboratories, Llc Vertical diamond MOSFET and method of making the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020093053A1 (en) * 1999-03-19 2002-07-18 Chan Kevin K. Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
US20020130354A1 (en) * 2001-03-13 2002-09-19 National Inst. Of Advanced Ind. Science And Tech. Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
US20020153587A1 (en) * 2000-03-16 2002-10-24 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US20020177263A1 (en) * 2001-05-24 2002-11-28 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6225173B1 (en) * 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
JP4058751B2 (ja) * 2000-06-20 2008-03-12 日本電気株式会社 電界効果型トランジスタの製造方法
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
JP2002289871A (ja) * 2001-03-28 2002-10-04 Toshiba Corp 半導体装置及びその製造方法
JP2003037264A (ja) * 2001-07-24 2003-02-07 Toshiba Corp 半導体装置およびその製造方法
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020093053A1 (en) * 1999-03-19 2002-07-18 Chan Kevin K. Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
US20020153587A1 (en) * 2000-03-16 2002-10-24 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US20020130354A1 (en) * 2001-03-13 2002-09-19 National Inst. Of Advanced Ind. Science And Tech. Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
US20020177263A1 (en) * 2001-05-24 2002-11-28 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013026213A1 (zh) * 2011-08-22 2013-02-28 中国科学院微电子研究所 半导体器件结构及其制作方法
US8492206B2 (en) 2011-08-22 2013-07-23 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device structure and method for manufacturing the same
CN104637818A (zh) * 2013-11-14 2015-05-20 国际商业机器公司 用于制造鳍片场效应晶体管器件的方法和鳍片场效应晶体管器件

Also Published As

Publication number Publication date
CN1771589A (zh) 2006-05-10
JP5409997B2 (ja) 2014-02-05
TW200425425A (en) 2004-11-16
GB2417134B (en) 2006-07-12
WO2004093181A1 (en) 2004-10-28
JP2006522486A (ja) 2006-09-28
DE112004000578T5 (de) 2006-02-23
KR101079348B1 (ko) 2011-11-04
KR20050119679A (ko) 2005-12-21
US6764884B1 (en) 2004-07-20
DE112004000578B4 (de) 2010-01-28
GB0518840D0 (en) 2005-10-26
TWI337392B (en) 2011-02-11
GB2417134A (en) 2006-02-15

Similar Documents

Publication Publication Date Title
CN100413039C (zh) 形成FinFET装置中的栅极以及薄化该FinFET装置的沟道区中的鳍的方法
US6833588B2 (en) Semiconductor device having a U-shaped gate structure
US6706571B1 (en) Method for forming multiple structures in a semiconductor device
US6686231B1 (en) Damascene gate process with sacrificial oxide in semiconductor devices
US6645797B1 (en) Method for forming fins in a FinFET device using sacrificial carbon layer
US6872647B1 (en) Method for forming multiple fins in a semiconductor device
US6787439B2 (en) Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US10134863B2 (en) Vertical semiconductor device structure and method of forming
US20080035997A1 (en) Fin Field-Effect Transistor and Method for Fabricating a Fin Field-Effect Transistor
CN113314609A (zh) 半导体器件及方法
US6911697B1 (en) Semiconductor device having a thin fin and raised source/drain areas
US7416925B2 (en) Doped structure for finfet devices
KR102374905B1 (ko) 트랜지스터 게이트들 및 형성 방법
US20220028999A1 (en) Air Spacers For Semiconductor Devices
KR102618415B1 (ko) 트랜지스터 소스/드레인 접촉부 및 그 형성 방법
US11955535B2 (en) Methods for forming air spacers in semiconductor devices
KR102442812B1 (ko) 반도체 디바이스 및 방법
US20200098914A1 (en) Depleted fin transistor and method of fabricating
CN115911115A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant